sb0 changed the topic of #m-labs to: ARTIQ, Migen, MiSoC, Mixxeo & other M-Labs projects :: fka #milkymist :: Logs http://irclog.whitequark.org/m-labs
<ccube> how can I convert a Signal's values to an integer?
fengling has quit [Quit: WeeChat 1.0]
mumptai has quit [Ping timeout: 272 seconds]
mumptai has joined #m-labs
<rjo> ccube: what do you want to do?
<rjo> ccube: a signal's value is already an integer.
<ccube> i try to use the signal to index an array
<rjo> you can just use Array([signal_a, signal_b, 3, 4])[indexing_signal]
<rjo> it's in the manual.
<ccube> TypeError: list indices must be integers, not Signal
<ccube> sorry, i am indexing a list. should it work with it too?
<rjo> no. as i said. use Array().
<ccube> ah, okay
<ccube> thx
<ccube> is there anyone using papilio pro board with xc3sprog? i am always getting a unknown JEDEC manufacturer: ff, ISF Bitfile probably not loaded error/warning and it seems that the bitfile was not flashed.
<rjo> you might want do do two things: a) check that you are using the right proxy bitstream and b) patch the ground plane together on the backside using a bunch of bridges.
<rjo> search the irc logs/artiq mailing list. there was talk about it.
<ccube> rjo, thx
<ccube> papilio-prog is working btw, so i supposed to have a software problem.
fengling has joined #m-labs
<ccube> rjo, cant really figure out what the problem is. cant find anything helpful in irc logs. when flashing with papilio-loader, it is working, when usinc xc3sprog, i am getting the jedec error. i am using exactly the same proxy bitstream in both cases. so should be a bug in xc3sprog? unfortunately, papilio-prog sucks because I cannot flash bitstream, bios and program at the same time...
mog has quit [Ping timeout: 246 seconds]
mog has joined #m-labs
<rjo> papilio-prog needs a different proxy bitstream iirc.
<rjo> than xc3sprog
<rjo> and the following day.
<ccube> rjo, thx :)
fengling has quit [Quit: WeeChat 1.0]
fengling has joined #m-labs
acathla has quit [Quit: Coyote finally caught me]
acathla has joined #m-labs
acathla has quit [Changing host]
acathla has joined #m-labs
FabM has quit [Quit: ChatZilla 0.9.91.1 [Firefox 36.0.4/20150320202338]]
sb0 has joined #m-labs
aeris_ has quit [Ping timeout: 245 seconds]
<sb0> ysionneau, your doc still doesn't say that you must prefix the serial number with "SN:".
aeris_ has joined #m-labs
<sb0> ah. you had the driver add it. well in that case, you might as well have the driver prepend any necessary zeros...
<sb0> but that may not be future-proof e.g. if devices start having longer SNs. i'd say don't reformat anything in the code.
aeris_ has quit [Read error: Connection reset by peer]
aeris_ has joined #m-labs
<sb0> ysionneau, then fix sphinx-argparse (better) or send a patch not to use %(default)
FabM has joined #m-labs
aeris_ has quit [Ping timeout: 250 seconds]
aeris_ has joined #m-labs
aeris_ has quit [Ping timeout: 250 seconds]
aeris_ has joined #m-labs
aeris_ has quit [Ping timeout: 244 seconds]
aeris_ has joined #m-labs
aeris_ has quit [Read error: Connection reset by peer]
aeris_ has joined #m-labs
sb0 has quit [Quit: Leaving]
aeris_ has quit [Ping timeout: 248 seconds]
aeris_ has joined #m-labs
aeris_ has quit [Ping timeout: 245 seconds]
aeris_ has joined #m-labs
aeris_ has quit [Ping timeout: 264 seconds]
aeris_ has joined #m-labs
Zougloub has quit [Ping timeout: 244 seconds]
aeris_ has quit [Ping timeout: 246 seconds]
FabM has quit [Quit: ChatZilla 0.9.91.1 [Firefox 36.0.4/20150320202338]]
aeris_ has joined #m-labs
FabM has joined #m-labs
sb0 has joined #m-labs
<sb0> ysionneau, what's the point of a default value if the argument is required (see your first patch)?
<sb0> also i don't think this particular argument should have a default value.
<sb0> rjo, having the argparse info in the doc enables people who don't have artiq installed to look at the website and see how the tools work
<GitHub29> [artiq] sbourdeauducq pushed 4 new commits to master: http://git.io/jIXD
<GitHub29> artiq/master 5ca5a36 Yann Sionneau: novatech409b: cleanup
<GitHub29> artiq/master 7482921 Yann Sionneau: ndsp_reference: give example of thorlabs_controller usage
<GitHub29> artiq/master bc307ab Sebastien Bourdeauducq: doc/manual/faq: fix minor details
<sb0> ysionneau, you should structure the doc so that it's 1) TDC a) driver b) example 2) TPZ a) driver b) example
<sb0> ...
_florent_ has joined #m-labs
<GitHub190> [artiq] sbourdeauducq pushed 1 new commit to master: http://git.io/jIFy
<GitHub190> artiq/master 2d1bbdf Sebastien Bourdeauducq: doc/manual/faq: more minor details
travis-ci has joined #m-labs
<travis-ci> m-labs/artiq#70 (master - bd2f6ea : Sebastien Bourdeauducq): The build passed.
travis-ci has left #m-labs [#m-labs]
sb0 has quit [Quit: Leaving]
<GitHub97> [misoc] enjoy-digital pushed 2 new commits to master: http://git.io/jIAv
<GitHub97> misoc/master 6492ef1 Florent Kermarrec: linker-sdram.ld: sdram mem region is now called main_ram
<GitHub97> misoc/master 73c2b7e Florent Kermarrec: tools: add minimal flterm.py (basic flterm.c clone with kernel loading for now)...
Zougloub has joined #m-labs
travis-ci has joined #m-labs
<travis-ci> m-labs/artiq#71 (master - 2d1bbdf : Sebastien Bourdeauducq): The build passed.
travis-ci has left #m-labs [#m-labs]
<GitHub94> [misoc] enjoy-digital pushed 2 new commits to master: http://git.io/jLLg
<GitHub94> misoc/master 7ea9e2b Florent Kermarrec: sdram: use names that are more explicit for bank_a, row_a,...: bankbits, rowbits, .... Add databits to GeomSettings.
<GitHub94> misoc/master ba8b24d Florent Kermarrec: sdram: pass module as phy parameter, define memtype in modules and only keep phy parameter in register_sdram_phy
<GitHub111> [misoc] enjoy-digital pushed 3 new commits to master: http://git.io/jLMm
<GitHub111> misoc/master ff11cb9 Florent Kermarrec: sdram/core/lasmicon: automatically insert bandwidth module when with_memtest is True
<GitHub111> misoc/master 69e9032 Florent Kermarrec: sofware/memtest: update bandwidth registers
<GitHub111> misoc/master 94b62ef Florent Kermarrec: libcompiler-rt: add ucmpdi2.o
kyak has quit [Ping timeout: 246 seconds]
kyak has joined #m-labs
kyak has joined #m-labs
bhamilton has joined #m-labs
bhamilton has quit [Remote host closed the connection]
sb0 has joined #m-labs
<GitHub2> [misoc] enjoy-digital pushed 2 new commits to master: http://git.io/jtqk
<GitHub2> misoc/master 1a1c9b4 Florent Kermarrec: tools/flterm.py: small clean up
<GitHub2> misoc/master 1fc24e6 Florent Kermarrec: sofware/memtest: use MAIN_RAM_SIZE from mem.h
_florent_ has quit [Quit: Leaving]
aeris has quit [Read error: Connection reset by peer]
aeris has joined #m-labs
sb0 has quit [Quit: Leaving]
ohama has quit [Ping timeout: 255 seconds]
aeris has quit [Ping timeout: 255 seconds]
aeris has joined #m-labs
ohama has joined #m-labs
<GitHub67> [misoc] enjoy-digital pushed 1 new commit to master: http://git.io/jml5
<GitHub67> misoc/master 2577065 Florent Kermarrec: software/memtest: remove Mixxeo/M1 hardcoded values in bandwidth computation
<GitHub143> [artiq] jordens pushed 1 new commit to master: http://git.io/jmor
<GitHub143> artiq/master f4cb97b Robert Jordens: comm_serial: fix baud rate change logging