sb0 changed the topic of #m-labs to: ARTIQ, Migen, MiSoC, Mixxeo & other M-Labs projects :: fka #milkymist :: Logs http://irclog.whitequark.org/m-labs
marbler has quit [Ping timeout: 256 seconds]
jfng has quit [Ping timeout: 256 seconds]
X-Scale has quit [Ping timeout: 264 seconds]
X-Scale has joined #m-labs
<GitHub2> [smoltcp] whitequark commented on issue #190: > The main issue I found out is that currently, fragmentation requires std for the memory swap.... https://github.com/m-labs/smoltcp/pull/190#issuecomment-381802771
<GitHub66> [smoltcp] whitequark commented on pull request #190 e8d2793: Of course it is possible, you use a map between ident/addresses and the *index* into the packet storage. https://github.com/m-labs/smoltcp/pull/190#discussion_r181932033
<GitHub29> [smoltcp] whitequark commented on pull request #190 e8d2793: Can you extract it into a separate PR that we merge before this one? https://github.com/m-labs/smoltcp/pull/190#discussion_r181932089
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #967: > I've reduced serwb speed to 500Mbps, it will maybe improve things.... https://github.com/m-labs/artiq/issues/967#issuecomment-381805957
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #967: > I've reduced serwb speed to 500Mbps, it will maybe improve things.... https://github.com/m-labs/artiq/issues/967#issuecomment-381805957
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #967: > I've reduced serwb speed to 500Mbps, it will maybe improve things.... https://github.com/m-labs/artiq/issues/967#issuecomment-381805957
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #967: > have to think a bit how things should sequenced, since we are not able to control the RTM when in PRBS mode.... https://github.com/m-labs/artiq/issues/967#issuecomment-381806804
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #967: > have to think a bit how things should sequenced, since we are not able to control the RTM when in PRBS mode.... https://github.com/m-labs/artiq/issues/967#issuecomment-381806804
Ultrasauce has joined #m-labs
rohitksingh_work has joined #m-labs
<sb0> whitequark, how does your ad9914 dds driver handle large FTW (above 2**31)?
rohitksingh_work has quit [Ping timeout: 256 seconds]
<sb0> _florent_, since <700Mbps is not straightforward due to the idelay range limitation, i suggest you keep 1G unless there is a clear reason not to
rohitksingh_work has joined #m-labs
marbler has joined #m-labs
jfng has joined #m-labs
rohitksingh has joined #m-labs
sb0 has quit [Quit: Leaving]
rohitksingh has quit [Quit: Leaving.]
sb0 has joined #m-labs
FabM_cave is now known as FabM
greni has joined #m-labs
<greni> Hi, Could you tell me how I can make an active low reset?
<rjo> greni: your_clock_domain.rst.eq(~your_rst_n) ?
<rjo> greni: or an active low asynchronous reset synchronizer?
<greni> rjo: an active low asynchronous reset
<rjo> AsynchronousResetSynchronizer(your_cd, ~your_rst_n) should do what you want.
<rjo> *AsyncResetSynchronizer
<greni> rjo: thanks I will check it
sandeepkr has quit [Ping timeout: 264 seconds]
sandeepkr has joined #m-labs
<rjo> wow. a Pentium M 1.6 GHz "industrial PC" for DIN-rail with "Linux 2.6 CoDeSys-Visu-Profibus-Master is only ~250 k€...
<sb0> that's why some companies love µTCA, VME, etc.
sandeepkr has quit [Ping timeout: 256 seconds]
sandeepkr has joined #m-labs
hartytp has joined #m-labs
<hartytp> rjo: "The code is lacking a lot of clock domain crossings."
<hartytp> where in particular?
<hartytp> there are a few I've chosen not to bother with, but AFAICT, none should hurt given the way I'm using the code...
<hartytp> The important one is the CDC for the looped back data. But, my thinking was that a CDC isn't needed here as I can use the IDELAY to ensure it meets setup/hold w.r.t. the rtio clock
<hartytp> the CSRs I'm not bothering with CDCs on, but I'm only reading them out when they're static, so no issues there
<hartytp> and, how is this ignoring intersymbol interference?
<hartytp> you mean because it's a counter rather than a PRBN?
<hartytp> or because there is only data in one direction?
<hartytp> (PRBS)
<GitHub-m-labs> [misoc] sbourdeauducq pushed 1 new commit to master: https://github.com/m-labs/misoc/commit/ea742b67a051899a86f040333d08e6a8483ef3b6
<GitHub-m-labs> misoc/master ea742b6 Sebastien Bourdeauducq: kasli: support variant-dependent default hardware revision
<sb0> hartytp, what code is this?
<bb-m-labs> build #426 of misoc is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/misoc/builds/426
<GitHub-m-labs> [artiq] sbourdeauducq pushed 3 new commits to master: https://github.com/m-labs/artiq/compare/1acd7ea1db1c...eac447278fd7
<GitHub-m-labs> artiq/master eac4472 Sebastien Bourdeauducq: kasli: add MITLL variant
<GitHub-m-labs> artiq/master 756e120 Sebastien Bourdeauducq: kasli/sysu: add comments
<GitHub-m-labs> artiq/master 142561c Sebastien Bourdeauducq: conda: bump misoc
<sb0> rjo, do you have kasli 1.1? are the SFP LEDs working on it without anything particular?
bb-m-labs has quit [Ping timeout: 240 seconds]
<GitHub-m-labs> [picam] jordens pushed 1 new commit to master: https://github.com/quartiq/picam/commit/78dfd33ee77c6e9fce5f43665a442813022f0cbf
<GitHub-m-labs> picam/master 78dfd33 Robert Jordens: artiq controller, support demo camera
bb-m-labs has joined #m-labs
<hartytp> rjo: I'm open to moving the SU servo to SDR or to a 62.5MHz ADC clock speeed
<hartytp> shouldn't affect the latency in any major way, and is probably easier than worrying about SI issues
<rjo> sb0: the rtio leds work. the one on sfp0 does not seem to work anymore (compared to Kasli/v1.0)
<rjo> hartytp: 62.5 MHz DDR or SDR? are you sure that doesn't affect latency?
<rjo> hartytp: iirc there weren't that many cycles to spare in that stage.
<hartytp> well, worst case, say we have 0 spare cycles. 16*8ns=128ns so it's an approx 10% slow down
<hartytp> I'm starting to think that I'd prefer to have something really robust than to squeeze the last hundred ns out
X-Scale has quit [Quit: HydraIRC -> http://www.hydrairc.com <- Now with extra fish!]
<hartytp> in practice, it's less bad than that. IIRC we currently have 19 clock cyles allocated for RTT.
<hartytp> take 1 out for the adc delay etc
<hartytp> assume a 2m cable, which is probably more than will really work reliably with the current setup. That's about 13ns round trup
<hartytp> so, if we leave 6 clock cycles for RTT (24ft in each direction) we are left with 12 clock cycles spare
<hartytp> so, unless my maths is wrong, halving the ADC data rate only costs a few clock cycles which no one is going to notice
<hartytp> of course, that then opens up the possibility of using longer cables, but that's a different matter
<rjo> robustnes and simplicity is a great goal. i'll hold you to that.
<rjo> ack. i'll have a look.
<rjo> could you file an issue (including the math)?
<hartytp> :)
<hartytp> will do
FabM has quit [Ping timeout: 268 seconds]
<GitHub-m-labs> [artiq] sbourdeauducq pushed 2 new commits to master: https://github.com/m-labs/artiq/compare/eac447278fd7...48b48e44ddc2
<GitHub-m-labs> artiq/master 48b48e4 Sebastien Bourdeauducq: kasli/mitll: fix demo
<GitHub-m-labs> artiq/master 79f4892 Sebastien Bourdeauducq: kasli/mitll: fix RTIO channel numbers
<sb0> rjo, I'm seeing that as well with the LEDs
<rjo> sb0: might be some wrong thinking about TX_FAULT or LOS or polarity that changed between the versions.
<bb-m-labs> build #1424 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1424
<GitHub-m-labs> [artiq] sbourdeauducq opened issue #982: Ethernet SFP0 LED not working on Kasli 1.1 https://github.com/m-labs/artiq/issues/982
sb0 has quit [Quit: Leaving]
<bb-m-labs> build #835 of artiq-win64-test is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-win64-test/builds/835
FabM has joined #m-labs
<bb-m-labs> build #2257 of artiq is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2257
rohitksingh_work has quit [Read error: Connection reset by peer]
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #967: Also I don't see how the scan algorithm can possibly work correctly right now, the delay range is less than 1 UI.... https://github.com/m-labs/artiq/issues/967#issuecomment-381979110
marmelada has joined #m-labs
<GitHub-m-labs> [artiq] gkasprow commented on issue #982: The LED and a few more signals assignment changed between versions. https://github.com/m-labs/artiq/issues/982#issuecomment-381985226
<bb-m-labs> build #1425 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1425
rohitksingh has joined #m-labs
<bb-m-labs> build #836 of artiq-win64-test is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-win64-test/builds/836
<bb-m-labs> build #2258 of artiq is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2258
rohitksingh has quit [Quit: Leaving.]
greni has quit [Quit: Page closed]
rohitksingh has joined #m-labs
sandeepkr has quit [Ping timeout: 240 seconds]
kuldeep has quit [Ping timeout: 265 seconds]
<GitHub50> [smoltcp] dlrobertson commented on issue #191: What about adding a `Ndisc(NdiscRepr)` variant to `Icmpv6Repr`? https://github.com/m-labs/smoltcp/pull/191#issuecomment-382022800
<GitHub133> [smoltcp] whitequark commented on issue #191: Let's do that. https://github.com/m-labs/smoltcp/pull/191#issuecomment-382023991
<GitHub112> [smoltcp] dlrobertson commented on issue #191: :+1: https://github.com/m-labs/smoltcp/pull/191#issuecomment-382024182
hartytp has quit [Quit: Page closed]
sb0 has joined #m-labs
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #982: The code takes that into account already, but still it doesn't work. I'll look at the various input signals with microscope. https://github.com/m-labs/artiq/issues/982#issuecomment-382036915
<GitHub-m-labs> [artiq] marmeladapk commented on issue #854: We did some tests with Kasli as a replacement for kc705 sniffer. [Here's sniffer code I wrote for Kasli.](https://hastebin.com/ahohucacig.py)... https://github.com/m-labs/artiq/issues/854#issuecomment-382046524
<GitHub-m-labs> [artiq] marmeladapk commented on issue #854: We did some tests with Kasli as a replacement for kc705 sniffer. [Here's sniffer code I wrote for Kasli.](https://gist.github.com/marmeladapk/3a7a1644ae45892bc673adb4f2efe1a1)... https://github.com/m-labs/artiq/issues/854#issuecomment-382046524
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #854: The Ethernet LED on Kasli 1.1 is borked (https://github.com/m-labs/artiq/issues/982) but works (lights up when link is all OK) on 1.0.... https://github.com/m-labs/artiq/issues/854#issuecomment-382047453
<GitHub-m-labs> [artiq] marmeladapk commented on issue #854: We did some tests with Kasli as a replacement for kc705 sniffer. [Here's sniffer code I wrote for Kasli.](https://gist.github.com/marmeladapk/3a7a1644ae45892bc673adb4f2efe1a1)... https://github.com/m-labs/artiq/issues/854#issuecomment-382046524
<GitHub-m-labs> [artiq] marmeladapk commented on issue #854: @sbourdeauducq We have v1.0. In my code I use directly signal from PHY `sfp_ctl.led.eq(self.ethphy.link_up)`. https://github.com/m-labs/artiq/issues/854#issuecomment-382048452
<GitHub-m-labs> [artiq] marmeladapk commented on issue #854: @sbourdeauducq We use v1.0 for sniffer. In my code I use directly signal from PHY `sfp_ctl.led.eq(self.ethphy.link_up)`. https://github.com/m-labs/artiq/issues/854#issuecomment-382048452
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #854: Since you have Kasli, you can use microscope to look at e.g. raw transceiver signals (the 8b10b encoded data), FSM states inside the soft Ethernet PHY, etc. to determine what the Sayma PHY is doing wrong. https://github.com/m-labs/artiq/issues/854#issuecomment-382049242
<whitequark> um, is someone messing with sayma-3 without taking the lock?
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #982: MOD_PRESENT polarity is different between the two versions. https://github.com/m-labs/artiq/issues/982#issuecomment-382057589
<sb0> _florent_, ^
<_florent_> yes, connection crashed and i forget to re-take the lock
<_florent_> whitequark: can i use it for one hour?
<GitHub-m-labs> [misoc] sbourdeauducq pushed 1 new commit to master: https://github.com/m-labs/misoc/commit/269b650289daed309f25243608cf576b1534bbee
<GitHub-m-labs> misoc/master 269b650 Sebastien Bourdeauducq: kasli: handle negated SFP mod_present
<sb0> whitequark, is the sfp0 led on in the new kasli chassis right now?
<sb0> whitequark, the win7 buildbot filesystem is getting full
<bb-m-labs> build #264 of migen is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/migen/builds/264
<whitequark> _florent_: ok
<whitequark> sb0: looking
<whitequark> sb0: L1 on L2 L3 off
<sb0> ok good
<GitHub-m-labs> [artiq] sbourdeauducq closed issue #982: Ethernet SFP0 LED not working on Kasli 1.1 https://github.com/m-labs/artiq/issues/982
kuldeep has joined #m-labs
<GitHub-m-labs> [artiq] sbourdeauducq pushed 1 new commit to master: https://github.com/m-labs/artiq/commit/c86d41edc6ab7c299963ec3df7cc42be50c705fc
<GitHub-m-labs> artiq/master c86d41e Sebastien Bourdeauducq: conda: update migen+misoc
<bb-m-labs> build #2259 of artiq is complete: Failure [failed conda_build] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2259 blamelist: Sebastien Bourdeauducq <sb@m-labs.hk>
<_florent_> whitequark: sorry stupid question, but how do i enable debug!() prints in rust?
<bb-m-labs> build #427 of misoc is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/misoc/builds/427
mumptai has joined #m-labs
<GitHub-m-labs> [artiq] enjoy-digital pushed 6 new commits to master: https://github.com/m-labs/artiq/compare/c86d41edc6ab...fe689ab4f2c2
<GitHub-m-labs> artiq/master 20ccc9d Florent Kermarrec: serwb/core/phy: move scrambler in phy, add link test, revert delay min/max checks
<GitHub-m-labs> artiq/master ebfac36 Florent Kermarrec: serwb/scrambler: dynamic enable/disable
<GitHub-m-labs> artiq/master 816a6f2 Florent Kermarrec: serwb/phys: remove phy_width (revert linerate to 1Gbps)
<GitHub-m-labs> [artiq] enjoy-digital commented on issue #967: @sbourdeauducq: ok, i reverted linerate, moved some things, added a line test after initialization.... https://github.com/m-labs/artiq/issues/967#issuecomment-382076438
rohitksingh has quit [Quit: Leaving.]
<_florent_> whitequark: i finished with sayma3, you can use it. If you use serwb and see initialization errors, can you log the errors in #967? thanks.
<whitequark> I already went back home, it's too late...
<whitequark> _florent_: regarding debug prints
<whitequark> it's not rust itself, you need to set log level
<whitequark> add log_level=DEBUG and uart_log_level=DEBUG to the filesystem image
<whitequark> or use artiq_corelog set_level DEBUG ; artiq_corelog set_uart_level DEBUG if you have ethernet
<GitHub172> [smoltcp] podhrmic commented on issue #190: > Huh? core::mem::replace exists. But I strongly dislike this solution. The code in EthernetInterface is already awful, let's not make it worse.... https://github.com/m-labs/smoltcp/pull/190#issuecomment-382082273
<GitHub148> [smoltcp] podhrmic opened pull request #192: Update loopback example readme (master...patch-1) https://github.com/m-labs/smoltcp/pull/192
<GitHub28> [smoltcp] podhrmic commented on issue #190: And one more question - in my `server_fragmented` example, I have the following rx buffer for UDP data:... https://github.com/m-labs/smoltcp/pull/190#issuecomment-382085457
<GitHub51> [smoltcp] whitequark commented on issue #190: Might be related to https://github.com/m-labs/smoltcp/pull/187 cc @astro https://github.com/m-labs/smoltcp/pull/190#issuecomment-382087236
<GitHub168> [smoltcp] whitequark commented on issue #190: Can you please write a test case for this, on top of `master`? I would really appreciate that. https://github.com/m-labs/smoltcp/pull/190#issuecomment-382087416
<GitHub20> [smoltcp] whitequark closed pull request #192: Update loopback example readme (master...patch-1) https://github.com/m-labs/smoltcp/pull/192
<GitHub29> [smoltcp] whitequark pushed 1 new commit to master: https://github.com/m-labs/smoltcp/commit/f0cad1df08ae1aab3a96b807996675e8abe7fdd2
<GitHub29> smoltcp/master f0cad1d Michal Podhradsky: Update README.md
<GitHub43> [smoltcp] whitequark commented on issue #192: Thanks! https://github.com/m-labs/smoltcp/pull/192#issuecomment-382087664
<GitHub-m-labs> [artiq] jbqubit commented on issue #967: @enjoy-digital I'm building --without-sawg now and will test shortly. https://github.com/m-labs/artiq/issues/967#issuecomment-382089752
<travis-ci> m-labs/smoltcp#868 (master - f0cad1d : Michal Podhradsky): The build passed.
<bb-m-labs> build #1426 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1426
<bb-m-labs> build #837 of artiq-win64-test is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-win64-test/builds/837
<bb-m-labs> build #2260 of artiq is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2260
<GitHub-m-labs> [artiq] enjoy-digital commented on issue #967: @jbqubit: thanks https://github.com/m-labs/artiq/issues/967#issuecomment-382099126
<GitHub-m-labs> [artiq] jbqubit commented on issue #919: @whitequark What's the status of this? https://github.com/m-labs/artiq/issues/919#issuecomment-382100470
<GitHub10> [smoltcp] podhrmic commented on issue #190: OK, will give it a try. https://github.com/m-labs/smoltcp/pull/190#issuecomment-382101832
<GitHub154> [smoltcp] podhrmic commented on issue #190: Another thing - I am not sure how to handle the CI build fails. In short, fragmentation currently works only for ipv4, but Ethernet Interface needs FragmentsSet even when it is not used. Hence the build fails when not using ipv4...:-/ https://github.com/m-labs/smoltcp/pull/190#issuecomment-382103079
<GitHub-m-labs> [artiq] whitequark commented on issue #919: @jbqubit Today I've determined that on master, even if AD9154 is initialized (and it gets initialized with @sbourdeauducq's patch that correctly sets up the mux), there is no sawtooth output. I was going to rebuild the commit I initially tested this with and check if that works, but Sayma 3 was busy and I left the lab before it got too late. Will continue tomorrow.
<GitHub6> [smoltcp] whitequark commented on issue #190: You could conditionally include the entire module.... https://github.com/m-labs/smoltcp/pull/190#issuecomment-382107790
<GitHub-m-labs> [artiq] jbqubit commented on issue #967: I built from master with --without-sawg. Using AMC+RTM. @enjoy-digital Looks like this was a step backward. memtest fails 10 of 10 times I tried on this board pair (AMC s/n -8). ... https://github.com/m-labs/artiq/issues/967#issuecomment-382124607
<whitequark> sb0: i learned why vivado is so slow
<whitequark> "every function call in the synthesis lib uses SSE instructions to create a massive ROP chain and then returns into it"
<whitequark> of course that murders branch and return address prediction
<q3k> what the fuck
<q3k> why
<q3k> oh, it's on the other channel
<GitHub-m-labs> [artiq] jordens pushed 2 new commits to master: https://github.com/m-labs/artiq/compare/fe689ab4f2c2...a4f1877085cd
<GitHub-m-labs> artiq/master a4f1877 Robert Jordens: CONTRIBUTING: add checklist for code contributions
<GitHub-m-labs> artiq/master 1b2a4bc Robert Jordens: doc: add out-of-tree controller ports...
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #967: Please open two new issues for those two problems. https://github.com/m-labs/artiq/issues/967#issuecomment-382153059
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #967: ... https://github.com/m-labs/artiq/issues/967#issuecomment-382154412
mumptai has quit [Quit: Verlassend]
<bb-m-labs> build #1427 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1427
<GitHub192> [smoltcp] podhrmic opened pull request #193: Resource exhaustion test (master...resource_exhaustion_test) https://github.com/m-labs/smoltcp/pull/193
<bb-m-labs> build #838 of artiq-win64-test is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-win64-test/builds/838
<bb-m-labs> build #2261 of artiq is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2261
futarisIRCcloud has joined #m-labs