clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
<ZipCPU> Hi, azzizi! This is a place you can hold a conversation--so you can do more here than just ask questions.
<ZipCPU> I know the various "synth" steps are usually composed of many separate steps within a design, and these separate steps can be selected and activated individually.
<ZipCPU> From that standpoint, it sounds like inputting a design via read_verilog, followed by some amount of processing, followed by write_verilog, followed by your processing, followed by read_verilog and the processing chain again, followed by write_whatever might suit your needs.
<ZipCPU> But ... getting back the original behavioral code from the platform specific Verilog code? That sounds like a hard problem.
emeb has quit [Quit: Leaving.]
<awygle> ILANG is a textual representation of RTLIL so it should not lose information. You should be able to modify the ILANG as long as you end up with a legal file. You might also consider writing a custom Yosys pass.
<awygle> azzizi: ^
emeb_mac has joined #yosys
m_w has quit [Quit: leaving]
digshadow has quit [Ping timeout: 245 seconds]
digshadow has joined #yosys
quigonjinn has joined #yosys
digshadow has quit [Ping timeout: 260 seconds]
digshadow has joined #yosys
leviathan has joined #yosys
seldridge0 has quit [Ping timeout: 245 seconds]
quigonjinn has quit [Ping timeout: 260 seconds]
dys has quit [Ping timeout: 245 seconds]
proteusguy has quit [Ping timeout: 245 seconds]
dys has joined #yosys
emeb_mac has quit [Quit: Leaving.]
dys has quit [Ping timeout: 264 seconds]
quigonjinn has joined #yosys
<daveshah> azzizi: neither write_verilog nor read_verilog perform any synthesis
<daveshah> read_verilog reads in the source code and compiles it to a high level (architecture agnostic) RTLIL netlist
<daveshah> write_verilog simply dumps the current internal RTLIL to verilog
<daveshah> If you want the output to be synthesised to a particular architecture, you need to call one of the synth commands, or manually set up your own sequence of commands to do synthesis, between read_verilog and write_verilog
GuzTech has joined #yosys
<daveshah> Even the first compilation step after read_verilog does loose some information, so you can't go back to the original input verilog
<daveshah> Synthesis will cause much more significant differences though. The resulting verilog will still be functionally equivalent though.
dmin7 has joined #yosys
<daveshah> The AST outputs are for debugging only, they are not a useful intermediate format as Yosys has no facility to read them in
<daveshah> The most useful intermediate format is ILANG
ZipCPU has quit [Ping timeout: 256 seconds]
ZipCPU has joined #yosys
jwhitmore has quit [Remote host closed the connection]
promach_ has joined #yosys
digshadow has quit [Ping timeout: 245 seconds]
digshadow has joined #yosys
jwhitmore has joined #yosys
grummel has quit [Ping timeout: 276 seconds]
esden has quit [Ping timeout: 264 seconds]
azzizi has quit [*.net *.split]
grummel has joined #yosys
proteusguy has joined #yosys
proteus-guy has quit [Ping timeout: 265 seconds]
_whitelogger has joined #yosys
FabM has joined #yosys
maartenBE has quit [Ping timeout: 245 seconds]
maartenBE has joined #yosys
proteus-guy has joined #yosys
develonepi3 has joined #yosys
seldridge0 has joined #yosys
maartenBE has quit [Ping timeout: 245 seconds]
maartenBE has joined #yosys
jwhitmore has quit [Ping timeout: 256 seconds]
eduardo_ has joined #yosys
eduardo__ has quit [Ping timeout: 260 seconds]
seldridge0 has quit [Ping timeout: 245 seconds]
seldridge0 has joined #yosys
leviathan has quit [Read error: Connection reset by peer]
leviathan has joined #yosys
emeb has joined #yosys
cemerick_ has quit [Ping timeout: 245 seconds]
[X-Scale] has joined #yosys
X-Scale has quit [Ping timeout: 240 seconds]
[X-Scale] is now known as X-Scale
[X-Scale] has joined #yosys
X-Scale has quit [Ping timeout: 256 seconds]
[X-Scale] is now known as X-Scale
promach__ has joined #yosys
promach__ is now known as promach2
quigonjinn has quit [Ping timeout: 260 seconds]
GuzTech has quit [Quit: Leaving]
cemerick_ has joined #yosys
cemerick_ has quit [Ping timeout: 264 seconds]
promach2 has quit [Quit: WeeChat 2.1]
seldridge0 has quit [Ping timeout: 252 seconds]
digshadow has quit [Ping timeout: 248 seconds]
dys has joined #yosys
leviathan has quit [Quit: http://quassel-irc.org - Chat comfortably. Anywhere.]
dxld_ has joined #yosys
dxld has quit [Read error: Connection reset by peer]
dxld_ is now known as dxld
jwhitmore has joined #yosys
seldridge0 has joined #yosys
josh- has joined #yosys
kehribar has joined #yosys
awygle is now known as aygle
aygle is now known as awygle
guan has quit []
guan has joined #yosys
mlen has quit [Quit: WeeChat 1.9.1]
mlen has joined #yosys
cyrozap is now known as Guest1936
Kitlith is now known as Guest50949
shapr is now known as Guest63770
Guest63770 is now known as shapr
josh- has quit [Quit: leaving]
kerel has quit [Quit: http://quassel-irc.org - Chat comfortably. Anywhere.]
cemerick_ has joined #yosys
cemerick has joined #yosys
mlen has quit [Quit: WeeChat 1.9.1]
cemerick_ has quit [Ping timeout: 268 seconds]
mlen has joined #yosys
kerel has joined #yosys
guan has quit []
guan has joined #yosys
dmin7 has left #yosys [#yosys]
AlexDaniel has quit [Changing host]
AlexDaniel has joined #yosys
jwhitmore has quit [Ping timeout: 260 seconds]
azzizi has joined #yosys
cemerick has quit [Ping timeout: 260 seconds]
dxld has quit [Ping timeout: 252 seconds]
digshadow has joined #yosys
kehribar has quit [Quit: Page closed]
seldridge0 has quit [Ping timeout: 240 seconds]
promach__ has joined #yosys
promach__ is now known as promach2
tpb has quit [Remote host closed the connection]
tpb has joined #yosys