clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
cemerick has joined #yosys
seldridge has quit [Ping timeout: 256 seconds]
sklv has joined #yosys
emeb_mac has joined #yosys
<ZipCPU> So ... I spent today trying to understand system verilog's concurrent assertion language.
<ZipCPU> Now I think Clifford gave us a gift by *not* including it in yosys.
cemerick has quit [Ping timeout: 260 seconds]
AlexDaniel has quit [Ping timeout: 240 seconds]
digshadow has quit [Ping timeout: 252 seconds]
promach has quit [Quit: WeeChat 2.1-dev]
digshadow has joined #yosys
<awygle> ZipCPU: oh? do tell
digshadow has quit [Ping timeout: 264 seconds]
indy has quit [Quit: ZNC - http://znc.sourceforge.net]
indy has joined #yosys
emeb_mac has quit [Quit: emeb_mac]
dys has quit [Ping timeout: 256 seconds]
dys has joined #yosys
leviathan has joined #yosys
leviathan has quit [Remote host closed the connection]
leviathan has joined #yosys
dmin7 has joined #yosys
m_t has joined #yosys
xrexeon has joined #yosys
xrexeon has quit [Ping timeout: 256 seconds]
fsasm has joined #yosys
AlexDaniel has joined #yosys
pie_ has joined #yosys
pie___ has quit [Ping timeout: 260 seconds]
GuzTech has joined #yosys
fsasm has quit [Ping timeout: 256 seconds]
ravenexp has quit [Quit: WeeChat 2.0.1]
ravenexp has joined #yosys
<tpb> Title: gist:6ae69cc05e13e412d0389d11685f7a70 · GitHub (at gist.github.com)
<ZipCPU> awygle: Those are some wishbone bus properties in the concurrent assertion subset of System Verilog.
<ZipCPU> While I like the language for its expressive ability, it's ... not nearly as easy to read and comprehend.
emeb_mac has joined #yosys
cemerick has joined #yosys
sklv has quit [Remote host closed the connection]
sklv has joined #yosys
pie_ has quit [Ping timeout: 264 seconds]
eduardo__ has joined #yosys
eduardo_ has quit [Ping timeout: 265 seconds]
ssvb has joined #yosys
<cr1901_modern> awygle: You read this and reconsider your life choices https://www.doulos.com/knowhow/sysverilog/tutorial/assertions/
<tpb> Title: SystemVerilog Assertions Tutorial (at www.doulos.com)
digshadow has joined #yosys
gnufan has quit [Ping timeout: 260 seconds]
pie_ has joined #yosys
gnufan has joined #yosys
furan-- has quit [Ping timeout: 240 seconds]
furan-- has joined #yosys
danieljabailey has joined #yosys
<ZipCPU> cr1901_modern: Yeah, I was referencing that tutorial a *lot* yesterday.
gnufan has quit [Ping timeout: 264 seconds]
gnufan has joined #yosys
gnufan has quit [Ping timeout: 264 seconds]
gnufan has joined #yosys
dys has quit [Ping timeout: 252 seconds]
pie_ has quit [Ping timeout: 240 seconds]
vinnyp has joined #yosys
pie_ has joined #yosys
ZipCPU|Laptop has joined #yosys
cemerick has quit [Ping timeout: 252 seconds]
dmin7 has quit [Ping timeout: 256 seconds]
m_w has joined #yosys
leviathan has quit [Remote host closed the connection]
pie_ has quit [Read error: Connection reset by peer]
ZipCPU|Laptop has quit [Ping timeout: 256 seconds]
digshadow has quit [Read error: Connection reset by peer]
digshadow1 has joined #yosys
quigonjinn has quit [Ping timeout: 268 seconds]
m_w has quit [Quit: leaving]
tpb has quit [Remote host closed the connection]
tpb has joined #yosys