clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
promach2 has joined #yosys
digshadow has quit [Remote host closed the connection]
sklv has quit [Remote host closed the connection]
sklv has joined #yosys
cemerick has joined #yosys
digshadow has joined #yosys
sklv has quit [Remote host closed the connection]
sklv has joined #yosys
promach2 has quit [Quit: WeeChat 2.1]
cemerick has quit [Ping timeout: 260 seconds]
jophish_ has joined #yosys
jophish_ is now known as Guest85486
AlexDaniel has quit [Ping timeout: 256 seconds]
Guest85486 has quit [Ping timeout: 248 seconds]
jwhitmore has joined #yosys
AlexDaniel has joined #yosys
seldridge has joined #yosys
jwhitmore has quit [Ping timeout: 276 seconds]
kmehall has quit [Ping timeout: 276 seconds]
kmehall has joined #yosys
AlexDaniel has quit [Ping timeout: 264 seconds]
seldridge has quit [Ping timeout: 240 seconds]
dys has quit [Ping timeout: 240 seconds]
dys has joined #yosys
FabM_Cave has joined #yosys
FabM_Cave is now known as FabM
dys has quit [Ping timeout: 264 seconds]
pie_ has joined #yosys
GuzTech has joined #yosys
pie__ has quit [Read error: Connection reset by peer]
GuzTech has quit [Client Quit]
jwhitmore has joined #yosys
GuzTech has joined #yosys
cemerick has joined #yosys
sklv has quit [Ping timeout: 268 seconds]
sklv has joined #yosys
xrexeon has joined #yosys
proteusguy has joined #yosys
leviathan has joined #yosys
leviathan has quit [Read error: Connection reset by peer]
leviathan has joined #yosys
cemerick has quit [Ping timeout: 240 seconds]
jophish_ has joined #yosys
jophish_ is now known as Guest53753
xrexeon has quit [Ping timeout: 265 seconds]
eduardo__ has joined #yosys
eduardo_ has quit [Ping timeout: 246 seconds]
Guest53753 has quit [Ping timeout: 264 seconds]
promach__ has joined #yosys
promach__ is now known as promach2
AlexDaniel has joined #yosys
kmehall has quit [Remote host closed the connection]
kmehall has joined #yosys
jophish_ has joined #yosys
jophish_ is now known as Guest11127
seldridge has joined #yosys
Guest11127 has quit [Quit: Lost terminal]
kmehall has quit [Read error: Connection reset by peer]
kmehall has joined #yosys
pie_ has quit [Ping timeout: 260 seconds]
fouric has quit [Ping timeout: 240 seconds]
GuzTech has quit [Quit: Leaving]
cemerick has joined #yosys
emeb has joined #yosys
<philtor> ZipCPU: The thread seemed to die with the debbie downer there at the end.
<ZipCPU> Yeah, I saw that. Did you see the link to the Digilent thread?
<philtor> no
<ZipCPU> Check the post mentioning Olof's quote.
<philtor> "Companies cannot usually afford the man hours to use something like this." I spent a huge number of man hours trying to work around vivado bugs.
<ZipCPU> I think it was a small second quote I made. There's a link in it to a fascinating Digilent thread, discussing why open source tool chains are *really* valuable.
<philtor> Bugs that Xilinx wasn't even interested in hearing about from us
<ZipCPU> Got to run, back in a bit
<tpb> Title: Rants about FPGA tool chain(s) - Technical Based Off-Topic Discussions - Digilent Forum (at forum.digilentinc.com)
<awygle> I think the topic of support is chronically under appreciated by FOSS engineers
<awygle> Also someone should tell zygot that you can download Quartus Prime for free and design for the Cyclone 10s without a (paid) license
digshadow has quit [Ping timeout: 260 seconds]
<ZipCPU> :D
<awygle> i feel i may have come into the conversation halfway through, however
<ZipCPU> awygle: The conversation began with a post on Altera's forums, asking about free software.
<ZipCPU> philtor linked to a tweet about it here (IIRC): https://twitter.com/zipcpu/status/977516920877535232
m_w has quit [Quit: leaving]
<awygle> ZipCPU: thanks for the link. Tricky sums up (at least a part of) my feelings well
<ZipCPU> Yeah. Understood. There didn't seem to be much more to say after he posted, so ... the conversation died there.
<awygle> my understanding is that UVM is open source
<awygle> but that the current state of the rest of the open source ecosystem doesn't support its use
<awygle> does that match your understanding?
promach2 has quit [Ping timeout: 268 seconds]
<ZipCPU> Not sure I could say much about UVM, never tried it, never used it, still struggling to figure out how it comes to play in this whole ecosystem.
* awygle is all fired up but has to do his actual job
<ZipCPU> awygle: Feel free to support me on Patreon, then you won't feel as guilty! :P
<awygle> ZipCPU: has your patreon made a meaningful impact on the amount of open source work you're able to do?
<ZipCPU> Not sure how I'd answer that.
<ZipCPU> I mean ... even with all the numbers, finances, figures, etc., ... I'm not sure how I would go about answering it.
<ZipCPU> I will say this, almost *all* of my work in the past couple years is on github.
<awygle> all right, let me rephrase: i want to do more open source work, should i start a patreon? :p
<ZipCPU> Ahh, okay, that's an easier question to address.
<awygle> i suspect your advice will be "start a blog"
<ZipCPU> I've had the patreon account now for 3/4 of a year now, and ... it doesn't pay the needs of my family. Specifically, it's not coming close to paying for my son's college tuition.
<ZipCPU> Patreon is one of those things where you have to build a following, and ... it can be slow doing that.
<ZipCPU> There are folks who are making $40k+/year on Patreon. That would cover a lot (not all) of my expenses, but I'm a long way from getting there.
<awygle> this is more or less my impression as well. donations as a business model are very challenging
<ZipCPU> Although, I might have a suggestion for you if you are interested in that road ...
<ZipCPU> "Inbound Marketing: Get found using Google, Social media, and blogs", by Brian Halligan and Dharmesh Shah --- a wonderful book about today's marketing challenges and solutions.
<awygle> thanks for the suggestion! i'll add it to my list
<awygle> i've fallen very behind on books recently but i hope to have time to improve the situation soon
<ZipCPU> I found it at a used bookstore. I'm not intending on selling my copy, not sure why whoever sold it to the used book store did--the things' been gold to me.
xerpi has joined #yosys
<xerpi> hi, it seems like modules are searched on <modname>.v but I'm using systemverilog so my extensions are .sv
<tpb> Title: yosys/hierarchy.cc at a96c775a7301645b27486a5e663c75fca460f577 · YosysHQ/yosys · GitHub (at github.com)
<xerpi> would it be a good idea to be able to tell yosys about our file extensions?
<awygle> sounds like a useful thing to do
digshadow has joined #yosys
<awygle> as long as you're submitting a PR, the obvious generality of that loop with two explicit cases hurts my heart :p
<xerpi> awygle, yeah having a list of "let's try this extension" would be cleaner
<awygle> xerpi: i was thinking a list of (frontend, extension) pairs myself but yeah, basically
<xerpi> awygle, {"verilog", {".v", ".sv"}}, {"ilang", ".il"} ?
<xerpi> yeah I guess that would be much cleaner
<awygle> xerpi: ("verilog", ".v"), and then --verilog-ext=".sv" is what i was picturing. a multi-level map is more general though
<xerpi> and what about the other frontends?
<xerpi> it would be hard to know that given --foo-ext, figure out foo is a frontend
<xerpi> tricky to parse
<awygle> sure, just what was my first thought
<xerpi> hmm that's weird
<awygle> since frontends require code changes (writing the frontend) i was okay with that list being hardcoded
<xerpi> I'm using yosys to generate a json
<xerpi> yosys -q -o top.json -p proc -p opt -p "hierarchy -auto-top" $(TOP)
<xerpi> top.sv instantiates from a module called fulladder.sv
<xerpi> I've copied fulladder.sv to fulladder.v but it doesn't find it (no fulladder block in the diagram)
<xerpi> if I run: yosys -q -o top.json -p proc -p opt -p "hierarchy -auto-top" $(TOP) fulladder.sv
<xerpi> it works
<xerpi> so I have to add all my .sv's to the cmd line
<xerpi> awygle, I'd write that pull request if that prevent me from having to add the list of all my .sv's xDD
<xerpi> I don't have to pass my list of .sv's (only top module) to verilator and I'd like to be able to do the same with yosys :P
<xerpi> I guess I'll use *.sv for now heh
<ZipCPU> Be aware ... with *.sv (or *.v for that matter) you may need to identify to hierarchy which module is the "top" module
<xerpi> ZipCPU, yeah for now my project is very small, but I can set the top module using the hierarchy command
<ZipCPU> k
<awygle> i've been explicitly read_verilog-ing all of my files every time >_>
<xerpi> I still was expecting copying my .sv to .v to work hm
* ZipCPU has done the same as awygle, even teaching AutoFPGA how to compose that list of files
<awygle> i think the autodetect only works if the module name is the same as the .v file name, so you might have to read_verilog the file containing top?
<xerpi> awygle, exactly, that's what I was expecting
<xerpi> and also what I'm doing
<awygle> it doesn't look like it on your command line though. you're just using hierarchy -auto-top, not actually grabbing the file containing $(TOP)
<awygle> (unless you pass it explicitly)
<xerpi> oh ok let me try that
<awygle> basically i'd expect it to find the fulladder module automatically, but not any others
<xerpi> so not specifying any hierarchy should also work
<xerpi> yosys -q -o $tmp_json -p proc -p opt mytopmodule.sv
<xerpi> doesn't automatically detect fulladder.v :(
<xerpi> (also tried "hierarchy -top mytopmodule")
dys has joined #yosys
puddingpimp has quit [Remote host closed the connection]
seldridge has quit [Ping timeout: 268 seconds]
klklkliiiii has joined #yosys
* ZipCPU is checking whether or not the current yosys in the repo supports xerpi 's request
seldridge has joined #yosys
<ZipCPU> xerpi: Try a pull of yosys from github.com/YosysHQ ... see if that helps you out at all.
klklkliiiii has quit [Quit: Page closed]
jwhitmore has quit [Ping timeout: 252 seconds]
<xerpi> ZipCPU, sure, I'll try the upstream version
<xerpi> my OS version is Yosys 0.7 (git sha1 cc49ece)
<ZipCPU> The upstream version may have just changed ....
<xerpi> nope still not working :/
<xerpi> I'll add some debug printfs to see what's going on hehe
<ZipCPU> No?
<xerpi> no, it doesn't automatically load fulladder.v
<ZipCPU> Try this, put together a minimal example of what's failing that can then be used as both a means of communicating what you are looking for, as well as a test case.
<xerpi> that would be a good idea yeah
<xerpi> btw, expand_module is only called when doing an hierarchy pass
<xerpi> and frontend_call is called only if libdirs is not empty
<xerpi> I guess it would be nice to always add the dir of the module that's being checked to libdirs
jwhitmore has joined #yosys
kmehall has quit [Ping timeout: 276 seconds]
kmehall has joined #yosys
<xerpi> I've added some cout's and it's definitely looking for fulladder when running "hierarchy"
<xerpi> the problem is that the libdirs list is empty
<xerpi> I'll try pushing back the path of the module
seldridge has quit [Ping timeout: 264 seconds]
jwhitmore has quit [Ping timeout: 240 seconds]
<xerpi> yeah adding -libdir manually makes it try to load the fulladder.v
pie_ has joined #yosys
<xerpi> yay, fixed it!
<xerpi> ZipCPU, awygle what do you think? https://hastebin.com/ipeladelew.diff
<awygle> Looks reasonable to me, so long as "verilog - sv" is the correct front-end
<ZipCPU> Other than the extensions_map and the reconstruction brought about with it ... how does that fix your issue in a way that the previous fix didn't?
<awygle> I'm not a Yosys dev though so ultimately my thoughts are of limited use lol
<xerpi> ZipCPU, now it will also looks for .sv files
<ZipCPU> Just like the build from github should've done for you.
<xerpi> still have to pass "-libdir ." to hierarchy but that's not a problem
<ZipCPU> That's why I had you do a pull ... to get the change that should've fixed your issue.
<xerpi> ZipCPU, not really, without my patch it only tries to open .v and .il files
<xerpi> uh what
pie_ has quit [Read error: Connection reset by peer]
<ZipCPU> Then you didn't get the right files. :)
<xerpi> urgh yeah, sorry :(
pie_ has joined #yosys
<xerpi> I thought my pull you meant "fix it and do a pull request"
<xerpi> by pull*
<ZipCPU> Nope.
<xerpi> right, sorry then
seldridge has joined #yosys
<xerpi> anyways my "fix" can now be considered a cleanup lol
<xerpi> less code duplication
<ZipCPU> I mean ... I like your patch and all, and see how it could be valuable ... especially if yosys tries to add other files (.vhd for example) ...
<xerpi> exactly :)
<xerpi> here's a rebased version of it: https://hastebin.com/raw/omexabipiv
<xerpi> I have a compilation error on ABC btw: https://hastebin.com/raw/unadehigoq
<xerpi> bitbucket.org/alanmi/abc has now moved to github :)
jwhitmore has joined #yosys
m_w has joined #yosys
leviathan has quit [Remote host closed the connection]
<ZipCPU> Ok, let me look into that one ...
<ZipCPU> Sure looks like it ...
<ZipCPU> xerpi: Just submitted a yosys issue regarding the location of ABC.
<xerpi> ZipCPU, nice!
<ZipCPU> clifford is usually fairly responsive to simple issues, so ... I expect it'll be "fixed" soon enough.
<xerpi> good to hear
jwhitmore has quit [Ping timeout: 240 seconds]
promach2 has joined #yosys
m_w has quit [Quit: Leaving]
zkrx has quit [Read error: Connection reset by peer]
zkrx has joined #yosys
zkrx has quit [Read error: Connection reset by peer]
xerpi has quit [Remote host closed the connection]
zkrx has joined #yosys
tpb has quit [Remote host closed the connection]
tpb has joined #yosys