sb0 changed the topic of #m-labs to: ARTIQ, Migen, MiSoC, Mixxeo & other M-Labs projects :: fka #milkymist :: Logs http://irclog.whitequark.org/m-labs
<GitHub69> [smoltcp] dlrobertson commented on pull request #219 ced197f: Add a comment with something like the following.... https://github.com/m-labs/smoltcp/pull/219#discussion_r191092301
<GitHub24> [smoltcp] dlrobertson commented on pull request #219 ced197f: Is there a reason to not use an `Option` here? Then you don't have to run `lookup` if the user hasn't configured a buffer for routes. https://github.com/m-labs/smoltcp/pull/219#discussion_r191093361
<GitHub151> [smoltcp] dlrobertson commented on issue #219: One more small question, but it looks really good! https://github.com/m-labs/smoltcp/pull/219#issuecomment-392392276
<GitHub49> [smoltcp] dlrobertson opened pull request #220: Remove v4 from udp/tcp of ChecksumCapabilities (master...fix_cksum_caps) https://github.com/m-labs/smoltcp/pull/220
<GitHub57> [smoltcp] dlrobertson commented on issue #220: @m-labs-homu r+ https://github.com/m-labs/smoltcp/pull/220#issuecomment-392397365
<GitHub27> [smoltcp] m-labs-homu commented on issue #220: :pushpin: Commit 6637ae0 has been approved by `dlrobertson`
<GitHub136> [smoltcp] m-labs-homu pushed 1 new commit to auto: https://github.com/m-labs/smoltcp/commit/91f5891dbbea35010d62e8f5b50fd51e2f05d6b6
<GitHub90> [smoltcp] m-labs-homu commented on issue #220: :hourglass: Testing commit 6637ae0663d3da6efd1f7d387f52449609989617 with merge 91f5891dbbea35010d62e8f5b50fd51e2f05d6b6... https://github.com/m-labs/smoltcp/pull/220#issuecomment-392397400
<GitHub136> smoltcp/auto 91f5891 Dan Robertson: Remove v4 from udp/tcp of ChecksumCapabilities...
<travis-ci> m-labs/smoltcp#981 (auto - 91f5891 : Dan Robertson): The build passed.
<GitHub0> [smoltcp] m-labs-homu commented on issue #220: :sunny: Test successful - [status-travis](https://travis-ci.org/m-labs/smoltcp/builds/384545665?utm_source=github_status&utm_medium=notification)
<GitHub103> [smoltcp] m-labs-homu merged auto into master: https://github.com/m-labs/smoltcp/compare/2363f97834b3...91f5891dbbea
<GitHub154> [smoltcp] m-labs-homu closed pull request #220: Remove v4 from udp/tcp of ChecksumCapabilities (master...fix_cksum_caps) https://github.com/m-labs/smoltcp/pull/220
<travis-ci> m-labs/smoltcp#982 (master - 91f5891 : Dan Robertson): The build passed.
kaolpr has quit [Ping timeout: 252 seconds]
kaolpr has joined #m-labs
<sb0> rjo, do you foresee that Grabber will need TTLs?
<sb0> is that for camera comms?
<sb0> (control)
<sb0> I think they can be ttl_simple.Output, 8ns of resolution should be plenty for camera control...
Ultrasauce has joined #m-labs
futarisIRCcloud has joined #m-labs
sb0 has quit [Quit: Leaving]
<GitHub-m-labs> [artiq] sbourdeauducq pushed 4 new commits to master: https://github.com/m-labs/artiq/compare/56cfe77c91ce...80c69da17e3e
<GitHub-m-labs> artiq/master 4d3f763 Sebastien Bourdeauducq: move kasli_opticlock to kasli_basic
<GitHub-m-labs> artiq/master cc0ddf9 Sebastien Bourdeauducq: artiq_flash: do not check variants anymore...
<GitHub-m-labs> artiq/master bb24897 Sebastien Bourdeauducq: style
<bb-m-labs> build #1570 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1570
<bb-m-labs> build #2391 of artiq is complete: Failure [failed python_unittest_2] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2391 blamelist: Sebastien Bourdeauducq <sb@m-labs.hk>
rohitksingh_work has joined #m-labs
rohitksingh_wor1 has joined #m-labs
rohitksingh_work has quit [Ping timeout: 245 seconds]
<rjo> sb0: no. forget about them.
<GitHub-m-labs> [artiq] jordens pushed 1 new commit to master: https://github.com/m-labs/artiq/commit/b20a8c86b0b28e542b3db3dab5eaafddd1f8ec19
<GitHub-m-labs> artiq/master b20a8c8 Robert Jordens: kasli: don't bother with grabber ttls for now...
<rjo> bb-m-labs: force build --props=package=artiq-board,artiq_target=kasli,artiq_variant=ptb artiq-board
<bb-m-labs> build forced [ETA 33m16s]
<bb-m-labs> I'll give a shout when the build finishes
<rjo> sb0: i suspect you'll need to implement the bitslip machinery as well for grabber.
<bb-m-labs> build #2392 of artiq is complete: Failure [failed python_unittest_1] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2392 blamelist: Robert Jordens <rj@m-labs.hk>
<bb-m-labs> build #1571 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1571
<rjo> bb-m-labs: force build --props=package=artiq-board,artiq_target=kasli,artiq_variant=luh artiq-board
<bb-m-labs> build forced [ETA 33m53s]
<bb-m-labs> I'll give a shout when the build finishes
<rjo> bb-m-labs: force build --props=package=artiq-board,artiq_target=kasli,artiq_variant=hub artiq-board
<bb-m-labs> The build has been queued, I'll give a shout when it starts
<GitHub-m-labs> [artiq] sbourdeauducq pushed 1 new commit to master: https://github.com/m-labs/artiq/commit/c2890c6cf099ad8495dc89efbce6e47a6b68fe91
<GitHub-m-labs> artiq/master c2890c6 Sebastien Bourdeauducq: kasli_tester: initialize DDS channels
<bb-m-labs> build #1572 of artiq-board is complete: Failure [failed conda_build] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1572
<bb-m-labs> I'll give a shout when the build finishes
<bb-m-labs> build forced [ETA 33m53s]
<bb-m-labs> build #1573 of artiq-board is complete: Failure [failed conda_build] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1573
<bb-m-labs> build #1574 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1574
<bb-m-labs> build #2393 of artiq is complete: Failure [failed python_unittest_2] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2393 blamelist: Sebastien Bourdeauducq <sb@m-labs.hk>
<bb-m-labs> build #1575 of artiq-board is complete: Failure [failed conda_build] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1575
ardavast has joined #m-labs
sb0 has joined #m-labs
<sb0> rjo, no, just adjust the mmcm phase
<sb0> though in theory that can be fixed per bitstream, even
<GitHub-m-labs> [artiq] enjoy-digital pushed 1 new commit to master: https://github.com/m-labs/artiq/commit/e21f14c0b31ca0b1ef3efe4e6e71d8eff9832293
<GitHub-m-labs> artiq/master e21f14c Florent Kermarrec: serwb/phy: typo (KUSSerdes --> KUSerdes)
<rjo> sb0: manual tweaking with trial and error? and that is stable across PVT?
<sb0> the tolerances there are higher than for some other parts of the fpga design
<rjo> what tolerances?
<sb0> on the timing
<rjo> what other parts?
<sb0> internal combinatorial paths, for example
<rjo> could you elaborate?
<rjo> are you saying your approach won't work because of the higher tolerances?
<sb0> PVT effects are on things like the routing network. all circuits in the FPGA are similarly affected, and many have timing windows smaller than cameralink's
<sb0> still, it works with just static timing
<sb0> no I am saying a simple timing calibration will work
<rjo> sb0: an off-line calibration?
<sb0> maybe with a simple mmcm phase scan in software to make debugging easier
<sb0> could be offline, but it's riskier and harder to debug if things go wrong
<rjo> sb0: do you have timing constraints that guarantee it will work?
<rjo> so instead of bitslip you want to shift the mmcm phase? with the same iserdes logic on the clock? why not just bitsplip then?
<sb0> bitslip won't fix s/h violations
<sb0> changing the mmcm phase will
<sb0> as for timing constraints, LOCing the MMCM should be enough
<rjo> bitsplit and idelay will place you right in the middle of the window. you won't get any better than that.
<rjo> i don't get your reluctance to just implement the app note.
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
<sb0> it's more complicated, especially as the idelay is 2.5ns maximum and 1 UI can be up to 3.6ns
<rjo> sb0: there is a note about that case in the app note.
ardavast has quit [Ping timeout: 240 seconds]
<bb-m-labs> build #1576 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1576
<bb-m-labs> build #2394 of artiq is complete: Failure [failed python_unittest_2] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2394 blamelist: Florent Kermarrec <florent@enjoy-digital.fr>
<sb0> yea, but another corner case = another thing that can go wrong
<sb0> and what if the input signal happens to have no transitions during the scan? the data is not 8b10b encoded ...
<rjo> you are scanning the clock.
<sb0> then it's doing the same as I am doing, only more complicated and harder to debug
<rjo> LOCing something is the most likely thing that can go wrong with your approach.
<sb0> there is little visibility into the iodelay, whereas you can observe the clock pattern directly with my approach
<sb0> if the firmware scans the phase and calibrates, there is no need for LOC
<rjo> honestly, this looks like another NIH and a complaint-fest.
<sb0> ?
<rjo> now you want to add firmware to the problem.
<sb0> changing the phase of the clock instead of using idelay is NIH?
<rjo> if you are certain that's the only difference, fine. but silently relying on a pile of additional tooling that's not written yet and expecting less issues with it is.
<sb0> what pile of additional tooling?
<rjo> firmware support for phase scans. just think about managing multiple grabbers, different hardware.
<sb0> the tools are there; there is the CSR device group support already
<sb0> and this phase+firmware calibration is not only simpler than the iodelay and its corner cases, it also brings more visibility into the process
<rjo> can we please first try just idelay and bitslip and only if that doesn't work escalate?
<rjo> it is literally just porting the app note code.
<sb0> the only thing it cannot do it per-lane deskew
<sb0> *is
<sb0> how about doing the other way, if the phase shift doens't work then look at the app note?
<sb0> I don't see a problem with rtio phys needing firmware; even with drtio, we have satman
<rjo> because just porting known-working code is faster than implementing, debugging, and maintaining new concepts.
<sb0> I don't think so
<sb0> anyway
<sb0> (well, in this particular case I don't think so)
<sb0> how do you want to report things like the detected video resolution to the rest of the system (kernel?)
<rjo> no. it's agnostic to that.
<rjo> s/no/not at all/
<sb0> shouldn't the kernel be able to check that it is currently receiving video with the expected parameters?
<sb0> I think a good check for a valid video signal is "you have received a frame recently which had the correct dimensions"
<rjo> "have received a frame" is enough. that's already implemented. the other interface has that and more information anyway.
<sb0> how many rtio channels will this interface use?
<rjo> is that not written on the wiki page?
<sb0> that wiki isn't always up-to-date ...
marbler has quit [*.net *.split]
marbler has joined #m-labs
<bb-m-labs> build #1577 of artiq-board is complete: Exception [exception conda_build_output] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1577
X-Scale has quit [Quit: HydraIRC -> http://www.hydrairc.com <- Would you like to know more?]
X-Scale has joined #m-labs
<bb-m-labs> build #1578 of artiq-board is complete: Failure [failed conda_build] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1578
<sb0> rjo, for sawg you don't have to use rtio.Channel.from_phy()
<sb0> the from_phy() is just a convenient method for simple PHYs. sawg is no such simple PHY...
<sb0> I suggest creating rtio.Channel directly in sawg, since there is no input FIFO to configure
hartytp has joined #m-labs
<hartytp> rjo: is it worth a note somewhere (either a note in the docs, or a comment in kasli.py) to remind users to set DIP switch 2 on Urukul (they're not 0-indexed in the HW) to "on"
<hartytp> ?
<rjo> hartytp: yes. could you file an issue?
<hartytp> will do
<rjo> hartytp: btw. do you have a backplane adapter? do you remember who got one and whether there are more available?
<hartytp> I don't have one
<hartytp> Paweł was going to send me one, but I don't think he got round to it
<hartytp> I suspect that if you email Wojciech then he has some he can sell you
<hartytp> but, Paweł is the guy to ask about all of that
<hartytp> (I haven't chased since I don't have enough HW atm to need one, but soon I will)
<rjo> that's what i thought as well.
<sb0> what is that backplane adapter? to add another 2 EEMs to kasli?
<hartytp> 4
<hartytp> but, yes
<GitHub-m-labs> [artiq] hartytp opened issue #1015: SUServo: document connections/settings https://github.com/m-labs/artiq/issues/1015
sb0 has quit [Quit: Leaving]
<GitHub-m-labs> [artiq] jordens opened issue #1016: _ipaddr_info monkey_patch breaks UDP https://github.com/m-labs/artiq/issues/1016
sb0 has joined #m-labs
<kaolpr> do you have any example of device_db for multidevice operation?
rohitksingh_wor1 has quit [Read error: Connection reset by peer]
<sb0> kaolpr, with drtio?
<kaolpr> hmm, I thought it's possible to have multiple core devices in devices_db and in arguments to a particular device point to appropriate one, but it apparently doesn't work...
<sb0> no, that should work actually
<sb0> but the core devices won't be synchronized
<sb0> there is no example because no one has tried it.
rohitksingh has joined #m-labs
<kaolpr> well, either we're missing something or it is not (https://hastebin.com/zizohehunu.pl)
<GitHub-m-labs> [artiq] sbourdeauducq pushed 3 new commits to master: https://github.com/m-labs/artiq/compare/e21f14c0b31c...ad099edf63ae
<GitHub-m-labs> artiq/master 563e434 Sebastien Bourdeauducq: eem: finalize grabber support
<GitHub-m-labs> artiq/master ad099ed Sebastien Bourdeauducq: kasli: integrate grabber
<GitHub-m-labs> artiq/master 2612fd1 Sebastien Bourdeauducq: rtio: add grabber deserializer and WIP PHY encapsulation
<sb0> kaolpr, and what is the problem?
<sb0> kaolpr, @kernel("core22)
<sb0> @kernel("core2")
<kaolpr> sb0, the problem was that only one coredevice was actually responding (we've tried it in dashboard and in experiment) the commands
<kaolpr> however, we didn't try @kernel("core2")
<sb0> then how is artiq supposed to know on which device the kernel is supposed to be executed?
<kaolpr> heh... ok, we'll try that
<kaolpr> but it should work when overriding from dashbort, isn't it?
<sb0> there might be some bugs in the drivers though, as I said no one has tested this... send PRs :)
<sb0> the dashboard monitoring/injection only supports 1 core device
<kaolpr> ok, good to know :)
<sb0> i'd just use artiq_run to begin with
<sb0> by the way, what are you trying to achieve with two core devices?
kaolpr1 has joined #m-labs
kaolpr1 has quit [Client Quit]
<kaolpr> just experimenting while master-satellite porting is being ported to our bords (don't have any Ksli/Sayma at hand right now)
kaolpr1 has joined #m-labs
kaolpr1 has quit [Client Quit]
<GitHub27> [smoltcp] ProgVal commented on pull request #219 ced197f: Not really. Is it really worth it? `lookup` should exit very quickly if there are no routes. https://github.com/m-labs/smoltcp/pull/219#discussion_r191229050
<GitHub27> [smoltcp] ProgVal opened issue #221: Clear out expired routes https://github.com/m-labs/smoltcp/issues/221
<GitHub167> [smoltcp] ProgVal commented on pull request #219 ced197f: Done: https://github.com/m-labs/smoltcp/issues/221 https://github.com/m-labs/smoltcp/pull/219#discussion_r191229548
rohitksingh has quit [Quit: Leaving.]
<bb-m-labs> build #1579 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1579
<bb-m-labs> build #2395 of artiq is complete: Failure [failed python_unittest_2] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2395 blamelist: Sebastien Bourdeauducq <sb@m-labs.hk>
FabM has quit [Quit: ChatZilla 0.9.93 [Firefox 52.8.0/20180509233012]]
rohitksingh has joined #m-labs
hartytp has quit [Quit: Page closed]
rohitksingh has quit [Quit: Leaving.]
rohitksingh has joined #m-labs
cjbe has joined #m-labs
<cjbe> whitequark: after around Artiq commit 56a29b91 I no longer get any console log from satman (output: https://hastebin.com/lubisotido.rb). The runtime still works as normal, so it is just a logging issue. Do I have to change the log level somewhere?
<whitequark> cjbe: no, that's my bug, sorry
<whitequark> cjbe: fixed
<GitHub-m-labs> [artiq] whitequark pushed 1 new commit to master: https://github.com/m-labs/artiq/commit/2b7d98eb0475f8de96db617c8da853252a3f0a84
<GitHub-m-labs> artiq/master 2b7d98e whitequark: firmware: enable all log levels when creating UART logger....
<cjbe> whitequark: thanks!
rohitksingh has quit [Quit: Leaving.]
<GitHub-m-labs> [artiq] cjbe opened pull request #1017: firmware: fix typo in UART logger (master...satmantypo) https://github.com/m-labs/artiq/pull/1017
<GitHub-m-labs> [artiq] whitequark closed pull request #1017: firmware: fix typo in UART logger (master...satmantypo) https://github.com/m-labs/artiq/pull/1017
<bb-m-labs> build #1580 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1580
<bb-m-labs> build #2396 of artiq is complete: Failure [failed python_unittest_2] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2396 blamelist: whitequark <whitequark@whitequark.org>
<GitHub-m-labs> [migen] whitequark pushed 1 new commit to master: https://github.com/m-labs/migen/commit/e66f2df8b61b0fde6f38b0e058832d02289299df
<GitHub-m-labs> migen/master e66f2df whitequark: Fix documentation link in README.
<cjbe> whitequark: FYI the satman logger doesn't seem to insert any line breaks : https://hastebin.com/kobebebazi.rb
<bb-m-labs> build #1581 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1581
<bb-m-labs> build #2397 of artiq is complete: Failure [failed python_unittest_2] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2397 blamelist: Chris Ballance <chris.ballance@physics.ox.ac.uk>
<bb-m-labs> build #274 of migen is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/migen/builds/274
cjbe has quit [Ping timeout: 240 seconds]
cjbe has joined #m-labs
cjbe_ has joined #m-labs
cjbe has quit [Ping timeout: 268 seconds]
cjbe__ has joined #m-labs
cjbe_ has quit [Ping timeout: 245 seconds]
cjbe__ has quit [Ping timeout: 256 seconds]