sb0 changed the topic of #m-labs to: ARTIQ, Migen, MiSoC, Mixxeo & other M-Labs projects :: fka #milkymist :: Logs http://irclog.whitequark.org/m-labs
<bb-m-labs> build #1362 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1362
balrog has quit [Quit: Bye]
balrog has joined #m-labs
<bb-m-labs> build #790 of artiq-win64-test is complete: Warnings [warnings python_unittest] Build details are at http://buildbot.m-labs.hk/builders/artiq-win64-test/builds/790 blamelist: Robert Jordens <jordens@gmail.com>
<bb-m-labs> build #2198 of artiq is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2198
rohitksingh_work has joined #m-labs
<sb0> re. DDR3 on ultratrash: "When using the internal Vref the maximum data rate is 800 Mb/s (400 MHz)."
<sb0> ah, no, that's something else...
<whitequark> rjo: the former is iterating through the two elements in an array
<whitequark> the latter is iterating all numbers from n to n+DQS_SIGNAL_COUNT
mumptai has joined #m-labs
<sb0> _florent_, did you have a look at the xilinx phy?
<_florent_> sb0: i started looking at the xilinx phy but haven't started integration yet
<_florent_> rjo: i'm going to test last artiq design on tom's board
<_florent_> rjo: the 500ps on DQS is here to shift it by 90° versus DQ
<sb0> rjo, also DQS is not used for reads. using it for reads improves timing margins, but increases latency and makes the phy more complicated
<sb0> _florent_, does the xilinx phy support fixed read latency by the way? it's somewhat tricky to implement if you're using DQS
<sb0> though I guess you can simply take the worst-case latency and the worst-case buffer space
<sb0> this native bitslice looks a lot like something the transceiver people would have designed. no wonder the ddr3 doesn't work.
<sb0> hm the ttl serdes simulation is completely bitrotten ...
<GitHub-m-labs> [artiq] sbourdeauducq pushed 3 new commits to master: https://github.com/m-labs/artiq/compare/276b0c7f06d3...c8020f6bbd24
<GitHub-m-labs> artiq/master c8020f6 Sebastien Bourdeauducq: ttl_serdes_generic: fix/upgrade test
<GitHub-m-labs> artiq/master a582518 Sebastien Bourdeauducq: add ttl_serdes_ultrascale (untested)
<GitHub-m-labs> artiq/master fad066f Sebastien Bourdeauducq: ttl_serdes_7series: cleanup indentation...
<GitHub-m-labs> [artiq] sbourdeauducq pushed 1 new commit to release-3: https://github.com/m-labs/artiq/commit/35b70b3123d4b3082a57a5d9fbc61874327f1d2c
<GitHub-m-labs> artiq/release-3 35b70b3 Sebastien Bourdeauducq: ttl_serdes_generic: fix/upgrade test
<rjo> whitequark: ack. i misread it.
<rjo> _florent_, sb0: then read leveling only aligns dq w.r.t. clk?
<rjo> and per-bit skew is usually not an issue?
<sb0> rjo, yes. it aligns it for each 8-bit group
<sb0> DDR3 doesn't have a better way of dealing with per-bit skew - there is one DQS line for 8 DQ
<sb0> PCB layout should have matched trace lengths for each DQS group
<sb0> DQS-DQ timing is more tightly specified than CLK-DQ timing inside the SDRAM chip; this is the only reason for using DQS for reading
<sb0> for writing, DQS is useful to compensate for the CLK skew that accumulates chip after chip from the fly-by layout (the SDRAM chips are pretty dumb and cannot delay DQ on their own)
<rjo> per-bit skew could be handled on each dq line per chip in the fpga, no?
<sb0> yes
<sb0> but there shouldn't be much bit-to-bit skew inside a group of 8
<bb-m-labs> build #1363 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1363
hozer has quit [Ping timeout: 264 seconds]
hartytp has joined #m-labs
<hartytp> my guess is that this isn't an issue with trace matching on the PCB. Greg simulated that pretty carefully IIRC. Plus, everything looked good with the Xilinx tests and with _florent_'s liteX test (which gave really nice eyes)
<hartytp> remind me: (a) have we confirmed that this issue is present with the SAWG and not without it?
<hartytp> (b) while mem test etc is happening, is the SAWG logic held in a reset/power down state?
<hartytp> i.e. is this vivado changing the layout etc when the SAWG is there? Or, is this due to the SAWG logic itself running?
<hartytp> if the latter, one could imagine a thermal/si/pi issue inside the FPGA. e.g. maybe we should double check the decoupling situation on Sayma
<bb-m-labs> build #791 of artiq-win64-test is complete: Warnings [warnings python_unittest] Build details are at http://buildbot.m-labs.hk/builders/artiq-win64-test/builds/791 blamelist: Sebastien Bourdeauducq <sb@m-labs.hk>
<sb0> most likely vivado is changing the layout when sawg is enabled. we can lock it (build several FPGA areas separately and stitch them together) but that's quite difficult to do
<bb-m-labs> build #2199 of artiq is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2199
<sb0> but the I/O delay element and SERDES are dedicated circuitry for each pin and do not change between runs
sb0 has quit [Quit: Leaving]
<rjo> _florent_, sb0: on kc705, write leveling is weird. https://hastebin.com/lokutemovi.pas are those artefacts in the last 6 taps?
<_florent_> rjo: i'm having difficulties flashing the sayma i have with my computer:
<_florent_> rjo: just to be sure, are the scripts supposed to work without rtm?
<rjo> _florent_: no
<rjo> _florent_: let me give you the patch...
<_florent_> rjo: so what should i used to flash amc alone?
<_florent_> rjo: ok thanks
<_florent_> rjo: also, if i only have one ftdi chip connected, do i need to use the preinit command?
<rjo> no preinit needed if there's only one ft4232h
<_florent_> rjo: ok thank, i see (i already tried changing pld to 0, but maybe i missed something else)
<_florent_> rjo: for what you see on kc705
<bb-m-labs> build #1364 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1364
<_florent_> rjo: it's similar to sayma, we have 500ps delay on dqs
<_florent_> rjo: so we should in fact limit the write leveling scan to 32-6
<GitHub-m-labs> [artiq] jordens pushed 4 new commits to master: https://github.com/m-labs/artiq/compare/c8020f6bbd24...206664afd9c7
<GitHub-m-labs> artiq/master 6fb0cbf Robert Jordens: sdram: clean up, make read_level robust to wrap around...
<GitHub-m-labs> artiq/master 495625b Robert Jordens: bootloader: repeat memory test 4 times
<GitHub-m-labs> artiq/master 3abb378 Robert Jordens: i2c: unused variable
<rjo> _florent_: could you do that?
<_florent_> rjo: maybe later
<_florent_> rjo: are you famlilar with this openocd error: https://hastebin.com/osilobikak.php?
<rjo> _florent_: more context please
<rjo> _florent_: use openocd from m-labs/openocd (or conda) and the new bitstreams.
sb0 has joined #m-labs
<bb-m-labs> build #792 of artiq-win64-test is complete: Warnings [warnings python_unittest] Build details are at http://buildbot.m-labs.hk/builders/artiq-win64-test/builds/792 blamelist: Sebastien Bourdeauducq <sb@m-labs.hk>
<bb-m-labs> build #2200 of artiq is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2200
rohitksingh_work has quit [Read error: Connection reset by peer]
<rjo> the <1e-5 error windows on kc705/kasli/sayma are all the same: ~700ps
<rjo> _florent_: how is tom's board doing?
<hartytp> Sorry, I haven't followed this closely.
<hartytp> but, what's the status
<hartytp> there have been a few gw/fw changes
<hartytp> is the idea that teh gw changes have improved the eye scans?
<hartytp> or is the thinking that the eyes were actually fine, and we just needed more rubust fw?
<hartytp> or, not known yet?
<rjo> we didn't have any boards that had the problem while we were doing the changes. gw may have resolved it and sw read leveling is as good as i can imagine.
<rjo> we are waiting for jbqubit and pawel to test on their boards. _florent_ is testing on his.
<hartytp> okay
<bb-m-labs> build #1365 of artiq-board is complete: Failure [failed anaconda_upload] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1365 blamelist: Robert Jordens <jordens@gmail.com>
<bb-m-labs> build #2201 of artiq is complete: Failure [failed] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2201 blamelist: Robert Jordens <jordens@gmail.com>
<hartytp> I couldn't remember whether there were any issues with the ml boards. I know they weren't failing mem test, but I thought I remembered seeing some eye scans that looked as bad as the one on my board
<hartytp> in which case, the mem test passing/failing was luck rather than anything else
<hartytp> but I might be wrong about that#
<rjo> ml?
<hartytp> m-labs
<rjo> hartytp: i haven't seen any indication of a problem on those boards since wednesday. if you could point me to those bad eye scans i'd like to have a look.
<hartytp> okay, I'd have to look over the issue. I'll do that when I have time
<_florent_> rjo: i reinstalled everything from conda, but i'm still not able to flash the board...
<rjo> _florent_: show me
<_florent_> rjo: also i don't have anything on the rtm connector, do we need to have a jtag loopback on the rtm connector?
<rjo> _florent_: no. but are the power supplies on?
<_florent_> rjo: yes it seems ok (all led are green)
<rjo> _florent_: lsusb?
<_florent_> Bus 001 Device 007: ID 0403:6011 Future Technology Devices International, Ltd FT4232H Quad HS USB-UART/FIFO IC
<rjo> _florent_, hartytp: that is tom's board. anything worthwhile to tell?
<_florent_> rjo: i also tried with a jtaghs2 and have the same result (for that i adapted artiq_flash.py to jtaghs2)
<rjo> _florent_: is that the only 0403:6011 you have?
<_florent_> rjo: yes, i don't understand what is going on
<hartytp> hmm
<hartytp> I didn't have any issues with that
<rjo> _florent_: jtag is working, the fpga does not respond to jtag. maybe those scansta pullups/pulldowns/jumpers came loose?
<hartytp> artiq_flash -t Sayma -I "ftdi_location x:y,z" --srcbuild .
<hartytp> always worked for me (I had a few boards connected so used the -I
<_florent_> hartytp, rjo: have you already tested without anything on the rtm connector (just want to be sure)
<hartytp> never
<hartytp> always had an rtm
<_florent_> rjo: i just changed the power supply, it seems better: https://hastebin.com/cuqeturase.pas
<_florent_> rjo: temperature/voltages are fine, but still have "failed loading file bscan_spi_xcku040-sayma.bit to pld device 0"...
<rjo> _florent_: T,V are random because of circuit errors. sometimes they work sometimes they fail.
<rjo> _florent_: did you make the proxy bitstreams available?
<rjo> _florent_: i guess you installed openocd from conda?
<_florent_> rjo: ok it's programming... that was indeed the proxy that was not found... thanks
<rjo> hartytp: re sampler. there is no other code (gateware or coredevice) in your pipeline, right? then i'll go ahead.
rohitksingh has joined #m-labs
<GitHub-m-labs> [artiq] cjbe commented on issue #940: @jordens have you managed to reproduce this? https://github.com/m-labs/artiq/issues/940#issuecomment-374605116
rohitksingh has quit [Read error: Connection reset by peer]
dlrobertson has joined #m-labs
rohitksingh has joined #m-labs
<_florent_> rjo: i'm doing a restart tests on hartytp's board
<_florent_> rjo: it seems fine, here is one of the start:
<hartytp> _florent_ great!
<hartytp> that's with latest gw, right?
<hartytp> rjo: sorry, being slow about this. Have a driver sketched out, but gave it to a student to finish off as a learning exercise
<_florent_> hartytp: yes, with last gateware/bootloader
<hartytp> that looks really nice
<hartytp> any idea what's different?
<sb0> _florent_, sawg enabled?
<hartytp> can you double check with the last version of the gw/fr before I sent it to you and confirm that you still get bad eyes
<_florent_> hartytp: rjo followed some recommendations in the gateware around the idelayctrl, increased the number of repetitions for the eyescan (64 to 1024) and also improved the algo
<_florent_> hartytp: yes sure i can test that
<_florent_> hartytp: i have some from you that were failing, i'll test to see if i'm able to reproduce
<_florent_> sb0: yes (at least i just generated sayma_amc without options so if it hasn't changed it should be enabled)
<_florent_> hartytp: for now 150 consecutive restart with memtest passing
<hartytp> _florent_ would be good to know which change fixed this so we don't run into the same issue in a different form
<hartytp> whoo!
<_florent_> hartytp: i know you did some automatic restart tests, what where the results (in % of failures)
<hartytp> I didn't have time to do that before I shipped the AMC to you
<hartytp> cjbe did some in the very early days, before all this kerfuffle with SAWG
<GitHub-m-labs> [artiq] jordens pushed 1 new commit to master: https://github.com/m-labs/artiq/commit/f4719ae24bf90de81cb93f45082f8800452e8ec9
<GitHub-m-labs> artiq/master f4719ae Robert Jordens: sdram: clean up console output
<rjo> hartytp: ok. i'd like to unblock technosystem shipping sampler and zotino.
<hartytp> ack
<rjo> hartytp: did wojciech ship your zotinos?
<hartytp> yes
<hartytp> I'll try to push the zotino code I'm using in the next hour
<hartytp> it's been a very busy week, and getting things finished has been tough
<rjo> hartytp: then let's check sampler and give him a go on those as well
<rjo> hartytp: ack. thanks for the work on zotino.
<hartytp> you haven't seen it yet
<hartytp> ;)
<rjo> hartytp: i'm also fine with revamping the api if there are usability/technical advantages.
<hartytp> nothing major
<hartytp> just tried to make it a bit more consistent with other things I'd seen and add some SI unit functions, which I thought would be useful
<hartytp> next I want to check the timings with a scope and check that we're not doing things unnecessarily slowly
rohitksingh has quit [Quit: Leaving.]
<bb-m-labs> build #1366 of artiq-board is complete: Failure [failed anaconda_upload] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1366 blamelist: Robert Jordens <rj@m-labs.hk>
<bb-m-labs> build #2202 of artiq is complete: Failure [failed] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2202 blamelist: Robert Jordens <rj@m-labs.hk>
<rjo> sb0, whitequark: i did two cleanup rounds over the last couple of months on anaconda. could either of you sweep out old packages that use space? i suspect that might be the reason for the upload failures.
dlrobertson has quit [Ping timeout: 240 seconds]
rooi-oog has joined #m-labs
dlrobertson has joined #m-labs
<hartytp> here is (untested) the way I imagined the AD53xx driver looking
<hartytp> everything I want for Zotino is then a trivial wrapper on it
<hartytp> comments (good or bad)
dlrobertson has quit [Read error: Connection reset by peer]
dlrobertson has joined #m-labs
dlrobertson has quit [Read error: Connection reset by peer]
dlrobertson has joined #m-labs
<rjo> hartytp: could you do a pull request so i can see and discuss the changes?
<hartytp> sure
<hartytp> will do in a sec once I've added a quick cal func
<hartytp> fwiw, I'm not dead set on the changes so feel free to reject -- sometimes one needs to play with things to see what one actually wants
<hartytp> needs testing and a final tidy up, but won't bother to do that unles you think I'm heading along the right lines...
<GitHub-m-labs> [artiq] jordens closed issue #940: Urukul AD9910 AUX_DAC mismatch on init https://github.com/m-labs/artiq/issues/940
<GitHub-m-labs> [artiq] jordens commented on issue #940: Yes. I added a workaround. The MASTER_RESET pulse leads to the AD9910 not responding every other time that experiment is run (and errors). It is related to the activity after the cpld and dds init and it is also related to the underflow error. The IO_RST pulse is benign but also does not help. I don't understand yet why the AD9910 gets messed up if and only if there is t
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #940: The AD9914 on the NIST hardware also gets messed up if you reset it (with the hardware reset pin). Related? https://github.com/m-labs/artiq/issues/940#issuecomment-374665328
<GitHub-m-labs> [artiq] sbourdeauducq commented on commit f17c0ab: There should be a comment indicating that there is a workaround. https://github.com/m-labs/artiq/commit/f17c0abfe431158eed1671f5d4cdcb430638367f#commitcomment-28180364
<sb0> I deleted a few anaconda packages
<GitHub-m-labs> [artiq] jordens commented on issue #940: Don't know. https://github.com/m-labs/artiq/issues/940#issuecomment-374667510
mumptai has quit [Read error: Connection reset by peer]
<rjo> hartytp: hmm you have strayed far from the existing driver. and in many places for no reason that i can see ;)
<GitHub-m-labs> [artiq] jordens pushed 1 new commit to master: https://github.com/m-labs/artiq/commit/9ad1fd8f25328c35a92e67ceac95c93d6d3dddab
<GitHub-m-labs> artiq/master 9ad1fd8 Robert Jordens: urukul: add comment and doc about the AD9910 MASTER_RESET
<GitHub-m-labs> [artiq] r-srinivas opened issue #965: Backup for artiq_dashboard.pyon file https://github.com/m-labs/artiq/issues/965
<hartytp> yes, that's my worry
<hartytp> well, not for no reason
<hartytp> was trying to get the names of everything a bit more consistent and follow a design pattern that seemed easy to remember
mumptai has joined #m-labs
<hartytp> rjo: thanks for the review.
<hartytp> what do you want to do?
<hartytp> I felt that my version would provide a cleaner interface for users, given common use cases
<hartytp> if you disagree, feel free to do something else
<hartytp> then I'll test
<hartytp> anyway, the zotino driver on top of that is written
<hartytp> and works fine for the couple of things I tested it on (after a couple of small fixes to the code I committed)
juliusb has quit [Remote host closed the connection]
<rjo> hartytp: there are a bunch of things happening at the same time, some completely fine, others a bit contentious. let's check with dave on what he thinks and then do it piece by piece.
rooi-oog has left #m-labs [#m-labs]
<hartytp> fine.
<hartytp> anyway, as I said, I'm really just having a play around here as I don't yet have strong expectations about how this should look. if you want to do something different then I really don't mind as long as it
<hartytp> provides about the same functionality (or you can provide a good argument why that functionality shouldn't be in the drive)
<bb-m-labs> build #1367 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1367
<sb0> those ultrascale ioserdes are really annoying... the serdes ttl phy seems ok on drtio master, but I get those TPWS violations on the standalone design
<bb-m-labs> build #793 of artiq-win64-test is complete: Warnings [warnings python_unittest] Build details are at http://buildbot.m-labs.hk/builders/artiq-win64-test/builds/793 blamelist: Robert Jordens <jordens@gmail.com>
<bb-m-labs> build #2203 of artiq is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2203
<GitHub-m-labs> [artiq] jordens commented on issue #965: Writing the new state file should be atomic. And when a file can't be read (but exists) it should not be cleared/overwritten. AFAICT that's the case.... https://github.com/m-labs/artiq/issues/965#issuecomment-374691232
<GitHub-m-labs> [artiq] jordens commented on issue #908: From @enjoy-digital with @hartytp 's board and SAWG. That's just fine.... https://github.com/m-labs/artiq/issues/908#issuecomment-374692439
<GitHub-m-labs> [artiq] enjoy-digital commented on issue #908: @jordens: i'm doing more tests with @hartytp's board:... https://github.com/m-labs/artiq/issues/908#issuecomment-374699227
<bb-m-labs> build #1368 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1368
cedric has quit [Read error: Connection reset by peer]
bluebugs has joined #m-labs
bluebugs has joined #m-labs
bluebugs has quit [Changing host]
<bb-m-labs> build #794 of artiq-win64-test is complete: Warnings [warnings python_unittest] Build details are at http://buildbot.m-labs.hk/builders/artiq-win64-test/builds/794 blamelist: Robert Jordens <rj@m-labs.hk>
<bb-m-labs> build #2204 of artiq is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2204
bluebugs is now known as cedric
<GitHub-m-labs> [artiq] jordens commented on issue #908: I'd just do the memtests in a loop. That's much faster and it isolates problems. If those work, then there is little left to check (other than the eye location algorithm but i don't see how that could be improved) on that board. https://github.com/m-labs/artiq/issues/908#issuecomment-374721105
<whitequark> rjo:
<whitequark> RTM FPGA XADC:
<whitequark> TEMP 36.67 C
<whitequark> AMC FPGA XADC:
<whitequark> TEMP 45.53 C
dlrobertson has quit [Read error: Connection reset by peer]
dlrobertson has joined #m-labs
dlrobertson has quit [Ping timeout: 240 seconds]
<GitHub-m-labs> [artiq] r-srinivas commented on issue #965: > Writing the new state file should be atomic. And when a file can't be read (but exists) it should not be cleared/overwritten. AFAICT that's the case.... https://github.com/m-labs/artiq/issues/965#issuecomment-374741613
<GitHub-m-labs> [artiq] jordens commented on issue #965: I can't see how that could happen given the code. Unless there are hard disk problems or windows was particularly unhelpful. Or if the error causing the generation of a new cleared settings file is somewhere else. But maybe there is some feature that could be added to recover from that.... https://github.com/m-labs/artiq/issues/965#issuecomment-374756202
mumptai has quit [Quit: Verlassend]
<GitHub-m-labs> [artiq] hartytp commented on issue #908: Great work all! That eye scan looks really good. Given the build-build variations we've seen, it's hard to be 100% sure that this is fixed properly, but that does look extremely encouraging. Will be interested to hear from the other people with Sayma about how this looks on their boards.... https://github.com/m-labs/artiq/issues/908#issuecomment-374759405
<GitHub-m-labs> [artiq] cjbe commented on issue #908: @hartytp if I understand correctly, with the gateware you were using @enjoy-digital sees ~1% failures - IIRC you were seeing a rate much higher than this (i.e. >90%). Could this difference be a power supply issue at your end? https://github.com/m-labs/artiq/issues/908#issuecomment-374759977
<GitHub-m-labs> [artiq] hartytp commented on issue #908: @cjbe ... https://github.com/m-labs/artiq/issues/908#issuecomment-374762650
<GitHub-m-labs> [artiq] whitequark commented on issue #908: > Not likely. I'm running by board from a good 5A linear PSU and Sayma only draws something like 1.7A IIRC.... https://github.com/m-labs/artiq/issues/908#issuecomment-374767869
<GitHub-m-labs> [artiq] hartytp commented on issue #908: > I've calculated the maximum rated power draw based on the values in the schematics just today. AMC alone is 2.9A and AMC+RTM are 11.5A.... https://github.com/m-labs/artiq/issues/908#issuecomment-374769257
<GitHub-m-labs> [artiq] hartytp commented on issue #908: Thinking about this more, the board where @gkasprow and @marmeladapk carefully verified the PI also showed bad eye scans, which also suggests that this isn't related to the PSU I'm using (we looked into all that carefully before M-Labs started their thorough gateware investigation....) https://github.com/m-labs/artiq/issues/908#issuecomment-374779574
<GitHub-m-labs> [artiq] whitequark commented on issue #908: > Is that maximum value when all the supplies are shorted? Or, a maximum when the FMCs and everything else is fully loaded?... https://github.com/m-labs/artiq/issues/908#issuecomment-374782498
<GitHub-m-labs> [artiq] hartytp commented on issue #908: ack.... https://github.com/m-labs/artiq/issues/908#issuecomment-374782973
hartytp_ has joined #m-labs
<hartytp_> rjo: I think I fixed all the issues you raised
<hartytp_> fwiw, I think the interface is now quite nice and does everything I want
<hartytp_> if you're happy with it (and we don't hear strong objections from the folks at NIST) I'll clean up the git history and create a PR
<hartytp_> but, first, I'll test it
<hartytp_> 1. fast scope looking at DAC pins for reads, writes and sets (LDAC) to verify all data sheet timings on Zotino at 125MHz rtio
<hartytp_> 2. write and readback all accessible registers repeatedly to check SI etc
<hartytp_> 3. Look at DAC outputs on a good DVM to check that all functions do what they should do (e.g. try out the cal routine)
<hartytp_> once that's done, I'll move onto the analog tests
hartytp_ has quit [Client Quit]