sb0 changed the topic of #m-labs to: ARTIQ, Migen, MiSoC, Mixxeo & other M-Labs projects :: fka #milkymist :: Logs http://irclog.whitequark.org/m-labs
<GitHub-m-labs> [artiq] gkasprow commented on issue #908: It's quite simple... https://github.com/m-labs/artiq/issues/908#issuecomment-370611410
attie has quit [Ping timeout: 240 seconds]
attie has joined #m-labs
attie has quit [Ping timeout: 240 seconds]
attie has joined #m-labs
attie has quit [Ping timeout: 256 seconds]
attie has joined #m-labs
rohitksingh_work has joined #m-labs
rohitksingh_wor1 has joined #m-labs
rohitksingh_work has quit [Ping timeout: 248 seconds]
attie has quit [Ping timeout: 240 seconds]
attie has joined #m-labs
rohitksingh_wor1 has quit [Ping timeout: 240 seconds]
rohitksingh_work has joined #m-labs
<GitHub-m-labs> [artiq] whitequark commented on issue #945: You'd need to "just" rewrite Vec to support specifying allocators explicitly. It seems more straightforward to wait a few days for the RFC to be implemented. https://github.com/m-labs/artiq/issues/945#issuecomment-370666477
<travis-ci> [rust-managed] whitequark closed pull request #6: Map: is_empty(), len(), iter() (master...map-iter) https://github.com/m-labs/rust-managed/pull/6
<travis-ci> m-labs/rust-managed#34 (master - 81b54ca : Astro): The build passed.
attie has quit [Ping timeout: 260 seconds]
attie has joined #m-labs
<GitHub165> [smoltcp] whitequark commented on issue #177: No, that's not acceptable. There shouldn't be any broken accessors.... https://github.com/m-labs/smoltcp/pull/177#issuecomment-370673614
sb0 has joined #m-labs
sb0 has quit [Quit: Leaving]
sb0 has joined #m-labs
attie has quit [Ping timeout: 268 seconds]
attie has joined #m-labs
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
<GitHub-m-labs> [artiq] jordens commented on issue #908: @gkasprow are you sure the 10ns/div don't refer to the upper window? https://github.com/m-labs/artiq/issues/908#issuecomment-370558070
attie has quit [Remote host closed the connection]
attie has joined #m-labs
hozer has quit [Ping timeout: 260 seconds]
attie has quit [Remote host closed the connection]
attie has joined #m-labs
<GitHub-m-labs> [artiq] enjoy-digital pushed 2 new commits to master: https://github.com/m-labs/artiq/compare/a274af77d5d2...64b05f07bbe8
<GitHub-m-labs> artiq/master 64b05f0 Florent Kermarrec: drtio/gth: use parameters from Xilinx transceiver wizard
<GitHub-m-labs> artiq/master 45f1e5a Florent Kermarrec: drtio/gth: cleanup import
<GitHub-m-labs> [artiq] enjoy-digital commented on issue #934: We are now using GTH parameters from the Xilinx wizard, it will maybe improve things. https://github.com/m-labs/artiq/issues/934#issuecomment-370734400
<sb0> rjo, could you look into https://github.com/m-labs/artiq/issues/938 ?
<rjo> sb0: i'll give it a shot but i suspect it'll need your sed expertise.
<rjo> marmelada: ping
<rjo> sb0: yeah. i'll work on it. what's on your plate today?
<sb0> some meetings and paperwork (done now) and then getting the si5324 to behave
<rjo> what's that?
<sb0> use high PFD frequency (switching between 150M from CDR and MMCM into clkin1, ignoring clkin2), calibrate skew
<rjo> who's working on the sdram issue?
<bb-m-labs> build #1308 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1308
<rjo> i'd put that above the si5324 stuff now.
<bb-m-labs> build #745 of artiq-win64-test is complete: Warnings [warnings python_unittest] Build details are at http://buildbot.m-labs.hk/builders/artiq-win64-test/builds/745 blamelist: Florent Kermarrec <florent@enjoy-digital.fr>
<GitHub-m-labs> [artiq] enjoy-digital pushed 1 new commit to master: https://github.com/m-labs/artiq/commit/5b3d6d57e2dacbcc48b2725760cdb0753a39244d
<GitHub-m-labs> artiq/master 5b3d6d5 Florent Kermarrec: drtio/gth: power down rx on restart (seems to make link initialization reliable)
<bb-m-labs> build #2148 of artiq is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2148
<sb0> _florent_, do you want to look into the sayma sdram issues?
<sb0> I don't remember what the status is, is the problem still there on those boards that have the exar settings fixed?
hartytp has joined #m-labs
<hartytp> rjo: agreed, let's get the SDRAM sorted
attie has quit [Remote host closed the connection]
<hartytp> sb0: all the info is on the issue
attie has joined #m-labs
<hartytp> I know there is a lot going on, but please do try to keep on top of this
<hartytp> We agreed that Greg would try to finish hardware tests today to establish if this is a HW issue or a firmware/gateware/vivado/etc issue
<hartytp> I need you to closely follow Greg's testing to check that you're happy he's done all the right tests
<hartytp> and that you are generally satisfied with the level of testing
<marmelada> rjo: v1.1 #19 has I grade
<hartytp> sb0: if you want more tests done then you need to speak up now
<sb0> _florent_, ^
<marmelada> v1.0 we have has heatsink so I can't check
<hartytp> otherwise, I'll assume that you agree this is a gateware/etc issue and that you (M-Labs) will take full responsibility for fixing it in a timely fashion
<GitHub-m-labs> [artiq] jordens commented on issue #938: I can reproduce this with the current opticlock variant (right now, in that variant, `external_clock=True` means using the si5324 in free_run mode). https://github.com/m-labs/artiq/issues/938#issuecomment-370748575
<marmelada> rjo, sb0, hartytp, technosystem asked me how many bp adapters do we want
<sb0> bp adapters?
<marmelada> I'll answer them to produce one for each Kasli
<hartytp> what kind? (what to what)?
<hartytp> aah
<hartytp> I want 5 please
<hartytp> (assuming they're fairly cheap)
<marmelada> from bp connector to idc
<marmelada> is it right for to assume that everyone wants one with Kasli v1.1? that'll
<rjo> marmelada: thanks for checking!
<hartytp> marmelada: how much do they cost
<hartytp> ?
<marmelada> hartytp: I'll ask, it'll probably depend on volume
<rjo> marmelada: that gives me 22 K headroom instead of 7 K.
<rjo> marmelada: assuming they are ~100 €, I'll buy 5
<rjo> marmelada: i don't think every kasli needs one.
<hartytp> we will always want one. Others may or may not, not sure. Probably depends a bit on price (if v.cheap we might as well include one IMHO)
<hartytp> what I would like to do is supply Kasli with a PSU (eg wall wart with proper connector)
<hartytp> that should avoid issues where users hook up a cheap/low power PSU and then ask for support!
<marmelada> it should be cheap, small board, 4 layers, large tracks, few components and vias
<marmelada> and everything is on one side
<sb0> why does this bp adapter reduce temperature?
<marmelada> once I receive an answer I'll write ob issure
<sb0> or did I misunderstand something?
<marmelada> sb0: :D
<marmelada> robert asked me earlier on temperature grade of fpgas
<bb-m-labs> build #1309 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1309
<sb0> oh, right
<hartytp> IIUC a chunk of the cost is testing, so maybe we can ship the BP adapter without full tests as it's trivial? Or, build a quick electronic test rig using a Kasli?
<sb0> hartytp, we're having so many difficult bugs right now... do we really need less testing anywhere?
<hartytp> true
<hartytp> :)
<hartytp> well, making a simple test setup with Kasli should be very easy
<rjo> marmelada: btw. i found my old pcf8574a driver i had written a couple of weeks ago. will push that shortly.
<bb-m-labs> build #746 of artiq-win64-test is complete: Warnings [warnings python_unittest] Build details are at http://buildbot.m-labs.hk/builders/artiq-win64-test/builds/746 blamelist: Florent Kermarrec <florent@enjoy-digital.fr>
<bb-m-labs> build #2149 of artiq is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2149
<marmelada> rjo: thanks
<marmelada> sb0: seems that compilation error of sysu variant must have been caused by my changes, probably by sdram eye scan
<marmelada> hartytp: could you upload your failing gateware?
<marmelada> to sayma amc
<hartytp> yes, right, will do. Need to rebuild it after I changed something, but will do later today
<hartytp> (why do you need it? I though you still had failing gateware?)
<hartytp> rjo: have you received sampler yet?
<hartytp> and, what do you think the timeline for the servo is?
<marmelada> hartytp: is my last scan failed? I thought it passed (it said so without eye scan)
<hartytp> well, if that passes then it's just by luck
<hartytp> that looks about as bad as anything I've seen
<marmelada> ok
<hartytp> but yes, I'll get on that gatware for you now
<rjo> hartytp: i have received one sampler. the timeline in the contract is four months after hardware availability but i can imagine being done in a month if all goes well.
<hartytp> rjo: ack. That's not a contract enforcement type question
<hartytp> just asking so I know for planning
<hartytp> sounds good
<rjo> sb0: re SED. example code from #938. the rtio slack does a sawtooth between 13 and 25 ms corresponding to ~128 and ~256 events in the RTIO output FIFOs. is that expected? why does it sawtooth? (this is all independent of external_clock or not).
<rjo> sb0: any chancce could you spend an hour spraying that sed code with comments? i estimate that would save me or someone else at least two hours doing that.
<sb0> rjo, what area in particular needs comments?
<rjo> LaneDistributor.
rohitksingh_work has quit [Read error: Connection reset by peer]
<GitHub-m-labs> [artiq] hartytp commented on issue #908: @marmeladapk @gkasprow What's the status of this? Are you waiting for my binaries, or can you do the tests with binaries you have?... https://github.com/m-labs/artiq/issues/908#issuecomment-370768475
<GitHub-m-labs> [artiq] hartytp commented on issue #908: @marmeladapk @gkasprow What's the status of this? Are you waiting for my binaries, or can you do the tests with binaries you have?... https://github.com/m-labs/artiq/issues/908#issuecomment-370768475
<GitHub-m-labs> [artiq] hartytp commented on issue #908: @marmeladapk @gkasprow What's the status of this? Are you waiting for my binaries, or can you do the tests with binaries you have?... https://github.com/m-labs/artiq/issues/908#issuecomment-370768475
<GitHub-m-labs> [artiq] sbourdeauducq pushed 1 new commit to master: https://github.com/m-labs/artiq/commit/f40255c968de41d60bca3256e3849f75dd620619
<GitHub-m-labs> artiq/master f40255c Sebastien Bourdeauducq: sed: add comments about key points in LaneDistributor
<sb0> rjo, ^ just ask questions if you need more, I'll add the corresponding comment
<GitHub-m-labs> [artiq] sbourdeauducq pushed 1 new commit to master: https://github.com/m-labs/artiq/commit/c25560baeccaf06fec5915dfd92ae84e82469232
<GitHub-m-labs> artiq/master c25560b Sebastien Bourdeauducq: sed: more LaneDistributor comments
<rjo> sb0: ack
<GitHub-m-labs> [artiq] marmeladapk commented on issue #908: Use Vivado 2016.4 for Greg's bitstream. https://github.com/m-labs/artiq/issues/908#issuecomment-370774247
<GitHub-m-labs> [artiq] marmeladapk commented on issue #908: @hartytp Eye scan patch: https://github.com/m-labs/artiq/issues/908#issuecomment-369850757 https://github.com/m-labs/artiq/issues/908#issuecomment-370774796
<GitHub-m-labs> [artiq] marmeladapk commented on issue #908: @hartytp Eye scan patch: https://github.com/m-labs/artiq/issues/908#issuecomment-369850757... https://github.com/m-labs/artiq/issues/908#issuecomment-370774796
<GitHub-m-labs> [artiq] hartytp commented on issue #908: I'm already building with 2017.4 and don't have 2016.4 installed. Is there a reason for me to stop and rebuild with 2016.4? AFIACT, all that matters is that you take my binaries and verify that there are no SI or PI issues, but that ARTIQ still gives bad eyes. That should show that this is not a HW problem, but actually a gateware/vivado/firmware/etc problem.... http
<GitHub-m-labs> [artiq] hartytp commented on issue #908: > And our latest gateware produces such results: #908 (comment)... https://github.com/m-labs/artiq/issues/908#issuecomment-370775917
<GitHub-m-labs> [artiq] marmeladapk commented on issue #908: Yes, that's what I was saying. And my comment about 2016.4 was about https://github.com/m-labs/artiq/issues/908#issuecomment-370611410 https://github.com/m-labs/artiq/issues/908#issuecomment-370776126
<GitHub-m-labs> [artiq] hartytp commented on issue #908: So, unless @sbourdeauducq objects, I think that all you need to do @gkasprow @marmeladapk is to take the ARTIQ build that gives those bad eyes and:... https://github.com/m-labs/artiq/issues/908#issuecomment-370776252
<GitHub-m-labs> [artiq] hartytp commented on issue #908: @marmeladapk Remind me, those binaries:... https://github.com/m-labs/artiq/issues/908#issuecomment-370778641
<GitHub-m-labs> [artiq] marmeladapk commented on issue #908: @hartytp ... https://github.com/m-labs/artiq/issues/908#issuecomment-370778896
<bb-m-labs> build #1310 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1310
<bb-m-labs> build #747 of artiq-win64-test is complete: Warnings [warnings python_unittest] Build details are at http://buildbot.m-labs.hk/builders/artiq-win64-test/builds/747 blamelist: Sebastien Bourdeauducq <sb@m-labs.hk>
<GitHub-m-labs> [artiq] jordens pushed 8 new commits to master: https://github.com/m-labs/artiq/compare/c25560baecca...f4dad87fd9cf
<GitHub-m-labs> artiq/master 956098c Robert Jordens: kasli: add second urukul, make clk_sel drive optional
<GitHub-m-labs> artiq/master 07de7af Robert Jordens: kasli: make second eem optional in urukul
<GitHub-m-labs> artiq/master 257bef0 Robert Jordens: slave_fpga: print more info
<bb-m-labs> build #2150 of artiq is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2150
<GitHub-m-labs> [artiq] marmeladapk commented on issue #908: @hartytp Further information about Greg's DDR3 tester:... https://github.com/m-labs/artiq/issues/908#issuecomment-370782839
<rjo> sb0: judging from the numbers sed only uses 2 lanes (of 8). may or may not be related. i'll try to understand why.
<GitHub-m-labs> [artiq] gkasprow commented on issue #908: In addition one can set trigger to the rising edge of the dbg_data_compare_error_1 and leave it working for hours. https://github.com/m-labs/artiq/issues/908#issuecomment-370783668
<GitHub-m-labs> [artiq] gkasprow commented on issue #908: We did power supply ripples measurement during SDRAM tester running at 800MHz.... https://github.com/m-labs/artiq/issues/908#issuecomment-370786670
<GitHub-m-labs> [artiq] gkasprow commented on issue #908: We did power supply ripples measurement during 64bit SDRAM tester running at 800MHz.... https://github.com/m-labs/artiq/issues/908#issuecomment-370786670
<marmelada> sb0: I connected urukul with ad9910 to ext6 and 7 (eem0->ext7 and eem1->ext6) and while running sysu variant I get Urukul proto_rev mismatch (I get 0 while 8 is expected) - which cpld code did you use?
<bb-m-labs> build #1311 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1311
<bb-m-labs> build #748 of artiq-win64-test is complete: Warnings [warnings python_unittest] Build details are at http://buildbot.m-labs.hk/builders/artiq-win64-test/builds/748 blamelist: Robert Jordens <rj@m-labs.hk>, Robert Jordens <jordens@gmail.com>, Sebastien Bourdeauducq <sb@m-labs.hk>
<sb0> marmelada, built from git, 2d1c145aab
<marmelada> ok
<bb-m-labs> build #2151 of artiq is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2151
rohitksingh has joined #m-labs
attie has quit [Ping timeout: 248 seconds]
attie has joined #m-labs
newartiq has joined #m-labs
<newartiq> Helloo
<sb0> newartiq, hi
<newartiq> This is Omid from Ken Brown's lab
<newartiq> Hi Sebastian
<GitHub-m-labs> [artiq] hartytp commented on issue #908: Thanks @gkasprow! To check I understand you:... https://github.com/m-labs/artiq/issues/908#issuecomment-370796173
<sb0> newartiq, how's everything going?
<sb0> thanks for coming here
<newartiq> I have successfully run phaser on KC705 and get RF output by direct compiling. To set up phaser I used phaser bit file from Anaconda. However I am still not able to ping the board.
<sb0> newartiq, what does the serial console say?
<newartiq> This is my set up:
<newartiq> #
<newartiq> artiq 3.4 py_0+git9db30ce8 m-labs/label/main
<newartiq> artiq-kc705-phaser 3.4 py_0+git9db30ce8 m-labs/label/main
<newartiq> llvmlite-artiq 0.20.0 py35_1 m-labs/label/main
<newartiq> I am using flterm and there is no character output
<sb0> are you using the correct port?
<sb0> you say you get RF output?
<newartiq> Yes get RF out
<newartiq> And:
<newartiq> $ python3 -m serial.tools.list_ports -v
<newartiq> /dev/ttyUSB1
<newartiq> hwid: USB VID:PID=0403:6010 SER=210203A01FA7 LOCATION=1-4.2:1.0
<newartiq> desc: Digilent Adept USB Device
<newartiq> /dev/ttyUSB0
<newartiq> desc: Digilent Adept USB Device
<newartiq> hwid: USB VID:PID=0403:6010 SER=210203A01FA7 LOCATION=1-4.2:1.1
<newartiq> /dev/ttyUSB2
<newartiq> desc: CP2103 USB to UART Bridge Controller
<newartiq> hwid: USB VID:PID=10C4:EA60 SER=0001 LOCATION=1-4.3
<newartiq> 3 ports found
<newartiq> I have checked all these ports
<sb0> the one you should be using is ttyUSB2
<sb0> note that this number will change when you replug the board or even reboot the computer
<sb0> how did you get RF out?
<sb0> startup kernel?
<newartiq> It was the idle_kernel
<sb0> ok
<sb0> then you definitely have some serial output on ttyUSB2
<sb0> while the board is booting, that is
<sb0> also: is the ethernet link led on? did you configure the ip address? if not, have you tried pinging the default IP, while making sure that your network will route it?
<GitHub-m-labs> [artiq] marmeladapk commented on issue #908: @hartytp We only looked at 1,5 V while Xilinx IPcore was running. Now we'll retake those measurements with Artiq. https://github.com/m-labs/artiq/issues/908#issuecomment-370802178
<newartiq> Oh ok I was not looking at the right serial port. Here's what I get when I look at that serial port:
<newartiq> $ flterm /dev/ttyUSB0
<newartiq> Traceback (most recent call last):
<newartiq> File "/home/newartiq/anaconda3/envs/artiq-main/lib/python3.5/site-packages/serial/serialposix.py", line 265, in open
<newartiq> self.fd = os.open(self.portstr, os.O_RDWR | os.O_NOCTTY | os.O_NONBLOCK)
<newartiq> PermissionError: [Errno 13] Permission denied: '/dev/ttyUSB0'
<newartiq> During handling of the above exception, another exception occurred:
<newartiq> Traceback (most recent call last):
<newartiq> File "/home/newartiq/anaconda3/envs/artiq-main/bin/flterm", line 6, in <module>
<newartiq> exit(main())
<newartiq> File "/home/newartiq/anaconda3/envs/artiq-main/lib/python3.5/site-packages/misoc/tools/flterm.py", line 296, in main
<newartiq> args.upload_only, args.output_only)
<newartiq> File "/home/newartiq/anaconda3/envs/artiq-main/lib/python3.5/site-packages/misoc/tools/flterm.py", line 132, in __init__
<newartiq> self.port = asyncserial.AsyncSerial(port, baudrate=speed)
<sb0> newartiq, add yourself to the plugdev or dialout group
<newartiq> File "/home/newartiq/anaconda3/envs/artiq-main/lib/python3.5/site-packages/asyncserial-0.1-py3.6.egg/asyncserial/asyncserial.py", line 43, in __init__
<newartiq> File "/home/newartiq/anaconda3/envs/artiq-main/lib/python3.5/site-packages/asyncserial-0.1-py3.6.egg/asyncserial/asyncserial.py", line 16, in __init__
<newartiq> File "/home/newartiq/anaconda3/envs/artiq-main/lib/python3.5/site-packages/serial/__init__.py", line 88, in serial_for_url
<sb0> newartiq, yea yea I get it
<newartiq> instance.open()
<newartiq> File "/home/newartiq/anaconda3/envs/artiq-main/lib/python3.5/site-packages/serial/serialposix.py", line 268, in open
<newartiq> raise SerialException(msg.errno, "could not open port {}: {}".format(self._port, msg))
<newartiq> serial.serialutil.SerialException: [Errno 13] could not open port /dev/ttyUSB0: [Errno 13] Permission denied: '/dev/ttyUSB0'
<newartiq> But I can open the other serial ports
<GitHub-m-labs> [artiq] jordens opened issue #948: exception speed https://github.com/m-labs/artiq/issues/948
<sb0> newartiq, please make sure that this is indeed the right serial port (cp2103), then fix the permissions
<sb0> newartiq, ls -l /dev/ttyUSBx then look at what group it belongs to
<GitHub-m-labs> [artiq] hartytp commented on issue #908: Cool, thanks. https://github.com/m-labs/artiq/issues/908#issuecomment-370806645
<newartiq> root owned device and got fixed now
<newartiq> I can see serial output
<GitHub-m-labs> [artiq] cjbe opened issue #949: Satman 'aux packet error' on DRTIO link initialisation https://github.com/m-labs/artiq/issues/949
<GitHub-m-labs> [artiq] whitequark commented on issue #948: Exceptions are for exceptional control flow, not for arbitrary nonlocal jumps. As implemented, that is using the C++ zero-cost exception handling, the common case (normal control flow) is zero overhead, and more importantly, LLVM can optimize normal control flow without any regard to the exceptional control flow.... https://github.com/m-labs/artiq/issues/948#issue
<GitHub96> [smoltcp] astro commented on issue #177: Thank you for the feedback. I hope the `max_resp_time` is now properly. https://github.com/m-labs/smoltcp/pull/177#issuecomment-370810886
<GitHub1> [smoltcp] whitequark commented on issue #177: Thanks!... https://github.com/m-labs/smoltcp/pull/177#issuecomment-370811540
<GitHub-m-labs> [artiq] jordens commented on issue #948: Ack. It makes the usecase of reacting to exceptions with the usual speed (µs) impossible. I think it also means that any cleanup or rescue action (be it within the experiment or the idle kernel) is already 11 ms late before e.g. it can even start to try saving the ion by turning on cooling lasers. That's probably my biggest worry. https://github.com/m-labs/artiq/iss
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #949: Yes, that's pretty harmless - during link initialization the master keeps pinging the satellite on the aux channel until it is able to answer packets correctly, after which the link is declared up. What is happening is the satellite gateware receives a ping packet while the firmware is busy-waiting on the Si5324 lock, then another that causes an error as the buffer
<GitHub-m-labs> [artiq] whitequark commented on issue #948: > I think it also means that any cleanup or rescue action (be it within the experiment or the idle kernel) is already 11 ms late before e.g. it can even start to try saving the ion by turning on cooling lasers. That's probably my biggest worry.... https://github.com/m-labs/artiq/issues/948#issuecomment-370814373
<GitHub-m-labs> [artiq] whitequark commented on issue #948: I think the right thing to do if you really need µs-scale exception handling is to go the CPS route, since it can be seen as the generalization of the callback technique I just proposed. But I'm very worried about the impact on backtraces. It's also a rather substantial change to the compiler. https://github.com/m-labs/artiq/issues/948#issuecomment-370815594
<marmelada> sb0 or rjo: is urukul cpld 1.1 and artiq compatible with urukul v.1.1?
<sb0> fwiw the urukul 1.1 worked fine when I tried it
<sb0> with that git hash
<sb0> for the cpld
rohitksingh has quit [Quit: Leaving.]
<GitHub-m-labs> [artiq] jordens commented on issue #948: Well. For the idle kernel this is on top of loading, linking, and starting it. Maybe that's half the bottleneck. https://github.com/m-labs/artiq/issues/948#issuecomment-370818359
<GitHub-m-labs> [artiq] klickverbot commented on issue #948: 11 ms is still almost one and a half million cycles – DWARF does take some time to parse, variable-length integers and all, but that still seems like a lot? https://github.com/m-labs/artiq/issues/948#issuecomment-370818675
<sb0> how do I relock the si5324 after switching the clock using an external mux? enter and leave digital hold?
<sb0> it would relock by itself I guess, but I want to monitor the lock without race condition
<GitHub45> [smoltcp] dlrobertson commented on pull request #177 0967bfc: nit: seems strange to me to have the module named `igmpv2` but the exported structures and enums named `Igmp<Something>`. Would it make sense to just leave the module named `igmp` since we're not going to allow `Igmpv1Packet`, `Igmpv2Packet`, etc? https://github.com/m-labs/smoltcp/pull/177#discussion_r172553826
<GitHub92> [smoltcp] dlrobertson commented on pull request #177 0967bfc: I don't think you need the `into` here if you're returning a `u8`. Did you mean to return a `Duration`? https://github.com/m-labs/smoltcp/pull/177#discussion_r172551115
<GitHub171> [smoltcp] dlrobertson commented on pull request #177 0967bfc: +1 for the duration tests! https://github.com/m-labs/smoltcp/pull/177#discussion_r172552977
<GitHub-m-labs> [artiq] marmeladapk commented on issue #908: @enjoy-digital How can we run this test in loop? https://github.com/m-labs/artiq/issues/908#issuecomment-370819773
<rjo> whitequark: can we sell the tock people on smoltcp?
<rjo> marmelada: it should work. what are you doing (details) and what is the problem?
<GitHub150> [smoltcp] astro commented on pull request #177 a5362e1: Right, thanks for pointing out! https://github.com/m-labs/smoltcp/pull/177#discussion_r172559662
<GitHub-m-labs> [artiq] enjoy-digital commented on issue #908: @marmeladapk: you can create a loop here:... https://github.com/m-labs/artiq/issues/908#issuecomment-370825597
<GitHub13> [smoltcp] astro commented on pull request #177 42f0d54: I completely agree. Renamed to `igmp`! https://github.com/m-labs/smoltcp/pull/177#discussion_r172560734
rohitksingh has joined #m-labs
<marmelada> rjo: I have urukul v1.1, ad 9910 variant, Greg flashed v1.1 cpld code from your release
<marmelada> I connected eem0 to ext7 and eem1 to ext6
<marmelada> I flashed kasli with sysu variant
<marmelada> and while running artiq_run repository/demo.py I get: ValueError(0): Urukul proto_rev mismatch
<marmelada> I get proto_rev = 0 (I print it in case of mismatch)
<rjo> which device_db from sysu as well?
<rjo> marmelada: on sysu urukul is on eem1 and eem0.
<marmelada> omg...
<marmelada> ok, so it answers however I get PLL lock timeout on urukul0_ch0.init()
<GitHub-m-labs> [artiq] sbourdeauducq created siphaser (+1 new commit): https://github.com/m-labs/artiq/commit/87637338789c
<GitHub-m-labs> artiq/siphaser 8763733 Sebastien Bourdeauducq: drtio: implement Si5324 phaser gateware and partial firmware support
<_florent_> rjo: i want to do some test on sayma3 for jesd sc1 but get "cannot load RTM FPGA gateware: "Did not assert INIT in reaction to PROGRAM", what should i do?
<sb0> _florent_, comment out the part that attempts to load the rtm fpga
<_florent_> sb0: ok thanks
<sb0> _florent_, that being said the ddr3 issue has much more impact at the moment
<_florent_> sb0: i know
<_florent_> sb0: i'll try to investigate this week
<_florent_> sb0, rjo: in case any of you get a bistream where ddr3 fails on our boards, can you keep it and send it to me? (with all the files generated by vivado if possible)
<marmelada> _florent_: can I just enclose this if..else in loop{ }?
newartiq has quit [Ping timeout: 260 seconds]
<travis-ci> [rust-managed] astro opened pull request #7: Catch insert() on ManagedMap with zero-sized backing store (master...catch-zero-sized-managedmap) https://github.com/m-labs/rust-managed/pull/7
<_florent_> marmelada: yes
<_florent_> sb0: is there the 1.2Ghz clock input on sayma3?
<sb0> _florent_, no, it's 100MHz and going through the hmc830, I can reconnect it tomorrow
<_florent_> sb0: is the hmc830 working sometimes (ie is it worth i do some tests with it?)
<rjo> marmelada: clk_sel?
<sb0> very rarely, and it's unclear whether it produces a valid clock when it declares lock
<marmelada> "clk_sel": 0
<GitHub-m-labs> [artiq] jordens pushed 1 new commit to master: https://github.com/m-labs/artiq/commit/994ceca9ffb4d45312e4425b49d346acc672f4ce
<GitHub-m-labs> artiq/master 994ceca Robert Jordens: sayma_amc: disable slave fpga gateware loading
<rjo> _florent_: i'll release sayma-1 in a couple of minutes.
<rjo> marmelada: is that correct?
<_florent_> rjo: ok, i don't need sayma-1
<marmelada> rjo: clk_sel? I left it as it was in sysu
<marmelada> rjo: I checked with 1, but no difference
<rjo> marmelada: where do you connect yout clock.
<marmelada> I did not connect any
<marmelada> ad9912 worked without clock
<_florent_> sb0; yes please reconnect the 1.2GHz clock input when you are at your lab, thanks
<rjo> marmelada: which clock frequency, what are the ifc_mode switch settings, which pll_cp, pll_n, pll_vco, refclk in device_db?
<marmelada> greg says that oscillator is off
<sb0> marmelada, you should connect a clock to the mmcx connector
<marmelada> I used all the default settings from sysu version
<rjo> marmelada: yes. if you want the pll to lock to something then you need an oscillator. mmcx, sma or the on-board oscillator.
<rjo> ;)
<sb0> those settings expect a clock on mmcx
<marmelada> we just expected for it to work as 9912
<rjo> marmelada: the fact that the ad9912 locks to something in the absence of an oscillator is accidental.
<rjo> the frequency will be way off.
<marmelada> or oscillator was turned on by coincidence
<rjo> marmelada: there is 125 MHz coming out of the kasli ports. you can use those to feed the mmcx or sma input.
<rjo> marmelada: yes.
<marmelada> rjo, sb0: thank you very much1 :)
<rjo> marmelada: np. but does it work?
<marmelada> I thought it was meant to run on onboard oscillator and the fact that 9912 worked threw me off
<marmelada> yes
<GitHub68> [smoltcp] dlrobertson commented on issue #177: @whitequark looks good to me https://github.com/m-labs/smoltcp/pull/177#issuecomment-370844509
dlrobertson has joined #m-labs
<rjo> marmelada: the onboard osc needs a few Cs moved to enable.
<rjo> marmelada: glad that we figured that one out.
<rjo> ;)
<marmelada> ok, now that we have working setup for 9910 we're testing them
<rjo> marmelada: excellent!
<bb-m-labs> build #1312 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1312
<bb-m-labs> build #749 of artiq-win64-test is complete: Warnings [warnings python_unittest] Build details are at http://buildbot.m-labs.hk/builders/artiq-win64-test/builds/749 blamelist: Robert Jordens <rj@m-labs.hk>
<bb-m-labs> build #2152 of artiq is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2152
rohitksingh has quit [Quit: Leaving.]
mumptai has joined #m-labs
<GitHub-m-labs> [artiq] gkasprow commented on issue #908: We measured the power supply rail of SDRAM just before and after ARTIQ does memory test.... https://github.com/m-labs/artiq/issues/908#issuecomment-370873353
<GitHub-m-labs> [artiq] gkasprow commented on issue #908: I measured it directly under the FPGA bank. https://github.com/m-labs/artiq/issues/908#issuecomment-370876324
balrog has quit [Ping timeout: 265 seconds]
balrog has joined #m-labs
dlrobertson has quit [Read error: Connection reset by peer]
dlrobertson has joined #m-labs
dlrobertson has quit [Ping timeout: 248 seconds]
dlrobertson has joined #m-labs
dlrobertson has quit [Read error: Connection reset by peer]
dlrobertson has joined #m-labs
<GitHub-m-labs> [artiq] hartytp commented on issue #908: @gkasprow okay, good, so the 1v5 rail isn't the cause of the bad eyes with artiq. Are there any other rails we need to check? 1v8 for the fpga? https://github.com/m-labs/artiq/issues/908#issuecomment-370933693
dlrobertson has quit [Read error: Connection reset by peer]
dlrobertson has joined #m-labs
RexOrCine has quit [Ping timeout: 240 seconds]
Rex0r has joined #m-labs
dlrobertson has quit [Ping timeout: 260 seconds]
dlrobertson has joined #m-labs
cr1901_modern has quit [Read error: Connection reset by peer]
cr1901_modern has joined #m-labs
futarisIRCcloud has joined #m-labs
<GitHub-m-labs> [artiq] hartytp commented on issue #908: I know there is a huge amount going on atm but please can we prioritise finishing the pi and si measurements tomorrow? https://github.com/m-labs/artiq/issues/908#issuecomment-370968126
mumptai has quit [Quit: Verlassend]
dlrobertson has quit [Read error: Connection reset by peer]
dlrobertson has joined #m-labs