sb0 changed the topic of #m-labs to: ARTIQ, Migen, MiSoC, Mixxeo & other M-Labs projects :: fka #milkymist :: Logs http://irclog.whitequark.org/m-labs
attie has quit [Ping timeout: 276 seconds]
attie has joined #m-labs
G33KatWork has left #m-labs [#m-labs]
attie has quit [Ping timeout: 268 seconds]
attie has joined #m-labs
<GitHub134> [smoltcp] astro opened pull request #176: IGMPv1/v2 (master...igmp) https://github.com/m-labs/smoltcp/pull/176
<GitHub180> [smoltcp] astro commented on issue #24: The timeouts for sending out IGMP reports could also use a PRNG.... https://github.com/m-labs/smoltcp/issues/24#issuecomment-370287373
sb0 has quit [Read error: Connection reset by peer]
attie has quit [Ping timeout: 256 seconds]
attie has joined #m-labs
sb0 has joined #m-labs
<cr1901_modern> Does m-labs conda repo provide each package's dependencies, or are users expected to have "sudo apt-get install" the relevant (system) dependencies before attempting to use the conda environment?
<sb0> cr1901_modern, there are no/few external dependencies
<cr1901_modern> ahhh
<cr1901_modern> cc: mithro ^^
<GitHub15> [smoltcp] dlrobertson commented on pull request #176 cae0052: - I don't see the href for this link.... https://github.com/m-labs/smoltcp/pull/176#discussion_r172081071
AceChen has quit [Ping timeout: 248 seconds]
attie has quit [Ping timeout: 256 seconds]
attie has joined #m-labs
rohitksingh_work has joined #m-labs
sb0 has quit [Quit: Leaving]
<GitHub66> [smoltcp] whitequark commented on issue #24: The TCP specification dictates a particular algorithm for the PRNG. While not great it's more complex than just the timestamp... https://github.com/m-labs/smoltcp/issues/24#issuecomment-370307861
<GitHub-m-labs> [artiq] whitequark commented on commit 432e61b: Why is this `nowrite`? This method is not pure. https://github.com/m-labs/artiq/commit/432e61bbb450185fb64d15ce6c078e3425a3f861#commitcomment-27921920
<GitHub-m-labs> [artiq] whitequark commented on commit 432e61b: Nevermind, this should be fine. https://github.com/m-labs/artiq/commit/432e61bbb450185fb64d15ce6c078e3425a3f861#commitcomment-27921960
wolfspraul has joined #m-labs
attie has quit [Ping timeout: 260 seconds]
attie has joined #m-labs
attie has quit [Ping timeout: 240 seconds]
attie has joined #m-labs
attie has quit [Ping timeout: 256 seconds]
attie has joined #m-labs
attie has quit [Ping timeout: 256 seconds]
attie has joined #m-labs
attie has quit [Ping timeout: 248 seconds]
attie has joined #m-labs
attie has quit [Ping timeout: 256 seconds]
attie has joined #m-labs
<GitHub4> [openocd] jordens pushed 1 new commit to master: https://github.com/m-labs/openocd/commit/5c6b0bde5fb82268915b7b1fb980e289702ac172
<GitHub4> openocd/master 5c6b0bd Robert Jordens: xilinx-stat: support xilinx STAT register readout...
<GitHub17> [openocd] jordens force-pushed master from 5c6b0bd to 0b26b28: https://github.com/m-labs/openocd/commits/master
<GitHub17> openocd/master 0b26b28 Robert Jordens: xilinx-stat: support xilinx STAT register readout...
gric has quit [Ping timeout: 240 seconds]
gric has joined #m-labs
<whitequark> rjo: the installer has finished and rebooted the VM, and now I can't connect to it
<whitequark> `virsh start` says there is no such domain, whereas `virt-install` says that guest name is already in use
<rjo> whitequark: are you using --connect qemu:///system with virsh?
<whitequark> oh! that was it, thanks
<whitequark> why is that necessary?
<rjo> whitequark: i think there is a user session and a system session.
<whitequark> oh.
<whitequark> the VM hangs after Loading initial ramdisk ...
<whitequark> any ideas?
<rjo> whitequark: pretty sure it's up. 10.32.4.152
<rjo> whitequark: no console on ttyS0?
<whitequark> oh, that's how the VM is set up
<whitequark> thanks
<rjo> whitequark: don't know. i usually just use preseed and don't look at the console much.
* rjo now has 41 sinara boards laying around waiting to be integrated...
attie has quit [Remote host closed the connection]
<cjbe> sb0: for reference, over ~40 hours the Si5324 master-satellite clock phase has a pk-pk of 55ps (stddev 6ps) - this is pretty much at noise floor of how I am measuring it, so shows that clock sync. will work pretty well after we can deterministically align the phase to better than this on startup
attie has joined #m-labs
<cjbe> (this is with the FS bidi optics you recommended, and 10m of fibre)
attie has quit [Ping timeout: 240 seconds]
attie has joined #m-labs
<rjo> cjbe: one take-home message being that the si5324 does indeed to a <<1ns tight lock at ~500 Hz BW?
<cjbe> rjo: yes, if the PFD is at 2 MHz. Very much not if the PFD is at 16 kHz.
<rjo> cjbe: does it depend only on PFD freq or also on BW?
<cjbe> rjo: both BW and PFD freq
<GitHub-m-labs> [artiq] cjbe opened issue #945: Handle out-of-memory errors during DMA sequence recording https://github.com/m-labs/artiq/issues/945
rohitksingh_work has quit [Read error: Connection reset by peer]
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
<GitHub-m-labs> [artiq] whitequark commented on issue #945: Rust doesn't have fallible allocation. This is [a longstanding issue](https://github.com/rust-lang/rfcs/pull/2116) and [is being implemented](https://github.com/rust-lang/rust/issues/48043), until then this can't be fixed at a reasonable cost. https://github.com/m-labs/artiq/issues/945#issuecomment-370406250
<GitHub199> [smoltcp] astro commented on issue #176: > Could this be split up into multiple PRs? E.g. could the changes requrired to add IGMP to wire be split out into a a separate PR?... https://github.com/m-labs/smoltcp/pull/176#issuecomment-370407626
<GitHub126> [smoltcp] dlrobertson commented on issue #176: > Both the wire and the iface functionality is in the base PR #60... https://github.com/m-labs/smoltcp/pull/176#issuecomment-370407897
<GitHub28> [smoltcp] whitequark commented on issue #176: Can you just split it into two PRs? You can use [Co-authored-by:](https://blog.github.com/2018-01-29-commit-together-with-co-authors/) in commit messages to preserve authorship information. https://github.com/m-labs/smoltcp/pull/176#issuecomment-370411117
<GitHub-m-labs> [artiq] marmeladapk commented on issue #908: ```... https://github.com/m-labs/artiq/issues/908#issuecomment-370418967
sb0 has joined #m-labs
<sb0> cjbe, can you send me your 150MHz (in+out) Si5324 settings with high PFD frequency?
<GitHub-m-labs> [artiq] enjoy-digital commented on issue #908: @marmeladapk: thanks, this seems clean, what are you testing? https://github.com/m-labs/artiq/issues/908#issuecomment-370420877
attie has quit [Ping timeout: 260 seconds]
attie has joined #m-labs
<cjbe> sb0: 150 MHz in (on both clkins), 150 MHz out: https://hastebin.com/ehubizipem.php
<sb0> ta
<cjbe> sb0, what throughput would you expect on the kc705 for RTIO DMA events?
<sb0> cjbe, what data size?
<cjbe> A TTL output, so 1 word IIUC
<cjbe> I seem to be getting about 8 coarse clock cycles per eventy
<cjbe> On the gateware before SED (when I did the DMA acceptance tests) I seemed to be getting a factor 2 faster than this
<cjbe> But (redoing the tests again now) there was some gateware problem where events were getting lost, but no errors were reported
<sb0> should be around 3
<sb0> there was some gateware problem -> before SED?
<cjbe> before SED I would get RTIO collision with event pairs (i.e. pulse+delay) less than ~32mu apart
<cjbe> however I get missing events for event pairs less than 128mu apart
<cjbe> after SED, event pairs less than 128mu apart give underflow errors
<sb0> I suppose that's when they are part of a long chain of events, i.e. the fifo gets full?
<cjbe> Yes - this in an experiment that is trying to find the max sustained event rate
<sb0> but there are no more events getting lost?
<sb0> before SED some events would get lost, is that correct?
<cjbe> after SED, I either get the correct output, or an exception
rohitksingh has joined #m-labs
<cjbe> before SED, quite a lot of DMA events can get lost (i.e. for a 1e4 pulse sequence with pulses spaced by 120mu, I only get ~3e3 pulses out, whereas for 128mu and above I get the correct 1e4 out)
<sb0> hm. maybe related to this bug > https://github.com/m-labs/artiq/issues/844
<cjbe> sb0, I assume your calculation of 3 cycles is 8 bytes from the DRAM / cycle, and 14 bytes header + 4 bytes data = 18 bytes hence 3 cycles
<whitequark> I was about to say
<cjbe> sb0, I assume so
<sb0> no, basically the DRAM bandwitdh should max out the RTIO core, which can only take one event every 3 cycles
<cjbe> ah - ok
<sb0> if you have long data then the DRAM bandwidth becomes the limiting factor
<sb0> but let me double check
<cjbe> any idea why it looks like 8 cycles / event on the current kc705 gateware?
<GitHub-m-labs> [artiq] hartytp commented on issue #908: Yes, what *are* you testing? That's the first one of those that I've seen looking good.... https://github.com/m-labs/artiq/issues/908#issuecomment-370428182
<cjbe> (I am asking all this because I am seeing some strange DMA underflow timings on Kasli, so trying to understand the baseline on the KC705 first)
<sb0> one record for a TTL is 120 bits, and on kc705 one DRAM word is 512 bits
<sb0> so yes, it should be maxing out the RTIO core
<sb0> on kasli the memory bandwidth is less, one DRAM word is 128 bits
<sb0> count something like 4-5 cycles per DRAM access
<cjbe> cpu clock cycles?
<GitHub-m-labs> [artiq] gkasprow commented on issue #908: @hartytp @sbourdeauducq ... https://github.com/m-labs/artiq/issues/908#issuecomment-370430485
<sb0> yes
<sb0> all DRAM and DMA RTIO transactions happen in the system clock domain
<GitHub-m-labs> [artiq] hartytp commented on issue #908: @gkasprow Nice. No SI issue there. I assume this is with the fixed Exar settings and default drive settings on all SDRAM pins? https://github.com/m-labs/artiq/issues/908#issuecomment-370430957
<GitHub-m-labs> [artiq] gkasprow commented on issue #908: I run the ARTIQ gateware on it. https://github.com/m-labs/artiq/issues/908#issuecomment-370431706
<GitHub-m-labs> [artiq] hartytp commented on issue #908: @gkasprow 64-bit SDRAM, with SAWG? https://github.com/m-labs/artiq/issues/908#issuecomment-370431967
<GitHub-m-labs> [artiq] gkasprow commented on issue #908: I can also test data lines but need to scratch the silkscreen to gain access. And single-ended measurement won't work in my lab due to amount of EMC caused by nearby TV and radio transmitter. https://github.com/m-labs/artiq/issues/908#issuecomment-370432105
<GitHub-m-labs> [migen] jordens pushed 1 new commit to master: https://github.com/m-labs/migen/commit/72f43f7808b32044ae31e5b99308ae8f24f289ee
<GitHub-m-labs> migen/master 72f43f7 Robert Jordens: kasli_v1_1: add platform
<bb-m-labs> build #254 of migen is complete: Failure [failed python_unittest] Build details are at http://buildbot.m-labs.hk/builders/migen/builds/254 blamelist: Robert Jordens <jordens@gmail.com>
<cjbe> sb0, ok - I thought the DRAM interface was faster than that
<GitHub-m-labs> [artiq] hartytp commented on issue #908: @gkasprow Before you do that, I'd like to understand more about your setup. The eyes that @marmeladapk posted look good, so I'm not surprised that the scope traces look good.... https://github.com/m-labs/artiq/issues/908#issuecomment-370442253
<GitHub-m-labs> [artiq] marmeladapk commented on issue #908: @hartytp AFAIK this the same gateware I used here https://github.com/m-labs/artiq/issues/908#issuecomment-367975869. Built from master, but I can't remember if it's with sawg or without. It was beforce @gkasprow patched exar chip.... https://github.com/m-labs/artiq/issues/908#issuecomment-370450028
<GitHub-m-labs> [artiq] cjbe opened issue #946: Kasli DMA sustained event rate https://github.com/m-labs/artiq/issues/946
<GitHub-m-labs> [artiq] jbqubit commented on issue #908: I've also built and flashed version with 32-bit SDRAM and SAWG. Twice. Still same problem.... https://github.com/m-labs/artiq/issues/908#issuecomment-370451340
<GitHub-m-labs> [artiq] whitequark commented on issue #946: Remote TTL is faster than local TTL? https://github.com/m-labs/artiq/issues/946#issuecomment-370451973
<GitHub-m-labs> [artiq] cjbe commented on issue #946: yes - remote is faster than local. I was surprised by this too, but verified that when there is no underflow I get the correct sequence (number of pulses on a counter) out of both the master and slave. https://github.com/m-labs/artiq/issues/946#issuecomment-370453395
<GitHub-m-labs> [artiq] hartytp commented on issue #908: @marmeladapk Okay, I'm a bit confused now.... https://github.com/m-labs/artiq/issues/908#issuecomment-370454371
<GitHub-m-labs> [artiq] hartytp commented on issue #908: Basically, can you try to reproduce the conditions you had before that gave memtest errors/bad eyes and then have a look at the traces with a scope? https://github.com/m-labs/artiq/issues/908#issuecomment-370454564
<GitHub-m-labs> [artiq] hartytp commented on issue #908: Or, @sbourdeauducq can you suggest another test what other tests you would like to see don with the hardware to determine whether this is a gateware or hardware problem? https://github.com/m-labs/artiq/issues/908#issuecomment-370454853
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #908: No, what [you suggested](https://github.com/m-labs/artiq/issues/908#issuecomment-370454371) sounds good. https://github.com/m-labs/artiq/issues/908#issuecomment-370455633
<GitHub-m-labs> [artiq] marmeladapk commented on issue #908: @hartytp Right now I'm building sayma amc with SAWG against a274af7. We'll check if we have errors then on two amc boards we have in our lab. If not, you want us to build last not working version? https://github.com/m-labs/artiq/issues/908#issuecomment-370455658
<GitHub-m-labs> [artiq] jbqubit commented on issue #908: If M-Labs reproduces the DDR3 test that @gkasprow did this summer using Xilinx IP it would establish if there's a hardware problem or not. https://github.com/m-labs/artiq/issues/908#issuecomment-370455820
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #908: @jbqubit DDR3 is usually working correctly on our boards with the MiSoC core. What does this tell us if we run the Xilinx core a few times and it also works correctly? https://github.com/m-labs/artiq/issues/908#issuecomment-370456312
<cjbe> sb0, I am occasionally seeing bursts of 'write underflow' errors from the satellite - what does this mean?
<sb0> cjbe, for latency reasons, underflows are detected at the master; in case there is an underflow the master is supposed not to send anything to the satellite
<GitHub-m-labs> [artiq] hartytp commented on issue #908: @marmeladapk Well, I guess the question is: "what is the most direct measurement we can do to verify whether this is a hardware problem or not?"... https://github.com/m-labs/artiq/issues/908#issuecomment-370457874
<sb0> cjbe, in case the master sends something that produces an underflow upon reception by the satellite, that's the error you get
<GitHub-m-labs> [artiq] hartytp commented on issue #908: @marmeladapk Well, I guess the question is: "what is the most direct measurement we can do to verify whether this is a hardware problem or not?"... https://github.com/m-labs/artiq/issues/908#issuecomment-370457874
<sb0> cjbe, many things can cause that: TSC synchronization failure, not enough underflow margin at the master taking into account the latency of the link, data corruption
<sb0> (not just the underflow detection at the master not working correctly)
<GitHub-m-labs> [artiq] marmeladapk commented on issue #908: @gkasprow has bitstream with DDR3 tester at 800 MHz. We could upload these bitstreams and you could run thesetests on your boards. https://github.com/m-labs/artiq/issues/908#issuecomment-370459085
<GitHub-m-labs> [artiq] marmeladapk commented on issue #908: @gkasprow has bitstream with DDR3 tester at 800 MHz. We could upload these bitstreams and you could run these tests on your boards. https://github.com/m-labs/artiq/issues/908#issuecomment-370459085
<GitHub-m-labs> [artiq] gkasprow commented on issue #908: Yes, but these tests were done with just the SDRAM IP-core loaded.... https://github.com/m-labs/artiq/issues/908#issuecomment-370459307
<GitHub157> [smoltcp] astro commented on pull request #176 8da4386: > I don't see the href for this link.... https://github.com/m-labs/smoltcp/pull/176#discussion_r172227703
attie has quit [Remote host closed the connection]
attie has joined #m-labs
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #908: @hartytp sounds good. https://github.com/m-labs/artiq/issues/908#issuecomment-370459939
<GitHub-m-labs> [artiq] marmeladapk commented on issue #908: @hartytp Could you upload then your non-working bitstream? We still have at least 40 minutes until gateware with SAWG builds on our machine. https://github.com/m-labs/artiq/issues/908#issuecomment-370460307
<GitHub-m-labs> [artiq] hartytp commented on issue #908: > @hartytp Could you upload then your non-working bitstream? We still have at least 40 minutes until gateware with SAWG builds on our machine.... https://github.com/m-labs/artiq/issues/908#issuecomment-370460818
<GitHub-m-labs> [artiq] hartytp commented on issue #908: @sbourdeauducq @marmeladapk @gkasprow okay, so it sounds like we have an agreement on the tests that need to be done on Sayma. @gkasprow if you possibly can, it would be great to look at the data and clock lines at the same time to verify the jitter. https://github.com/m-labs/artiq/issues/908#issuecomment-370461147
<GitHub-m-labs> [artiq] jbqubit commented on issue #908: > What does this tell us if we run the Xilinx core a few times and it also works correctly?... https://github.com/m-labs/artiq/issues/908#issuecomment-370461174
<cjbe> sb0, understood - so if I see these ever in normal operation there is a bug somewhere
<sb0> cjbe, yes
<GitHub-m-labs> [artiq] hartytp commented on issue #908: @jbquibt the tests outlined above shouldn't take @gkasprow and @marmeladapk too long to complete and will resolve the hw/gateware issue to everyone's satisfaction. Let's use that as a starting point.... https://github.com/m-labs/artiq/issues/908#issuecomment-370462511
<GitHub-m-labs> [misoc] jordens pushed 1 new commit to master: https://github.com/m-labs/misoc/commit/4457932f4014151e6752eee951f86224e6228ce4
<GitHub-m-labs> misoc/master 4457932 Robert Jordens: kasli: allow platform to be passed explicitly
attie has quit [Ping timeout: 240 seconds]
attie has joined #m-labs
<bb-m-labs> build #402 of misoc is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/misoc/builds/402
<GitHub-m-labs> [artiq] cjbe opened issue #947: Intermittant DRTIO write underflows https://github.com/m-labs/artiq/issues/947
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #947: How are you clocking the master and satellite? https://github.com/m-labs/artiq/issues/947#issuecomment-370470929
<GitHub-m-labs> [artiq] jbqubit commented on issue #908: @hartytp OK. I'll shelve my use-the-vendor-IP banner for the interim. https://github.com/m-labs/artiq/issues/908#issuecomment-370471836
<GitHub23> [smoltcp] astro opened pull request #177: Implement IGMP packets (master...igmp-packet) https://github.com/m-labs/smoltcp/pull/177
<GitHub169> [smoltcp] astro opened pull request #178: IGMP processing (master...igmp-processing) https://github.com/m-labs/smoltcp/pull/178
<GitHub32> [smoltcp] astro commented on issue #176: > Can you just split it into two PRs? You can use Co-authored-by: in commit messages to preserve authorship information.... https://github.com/m-labs/smoltcp/pull/176#issuecomment-370473548
<GitHub79> [smoltcp] astro closed pull request #176: IGMPv1/v2 (master...igmp) https://github.com/m-labs/smoltcp/pull/176
<GitHub70> [smoltcp] whitequark commented on pull request #177 3756ea1: Nit: this is indented a bit too much. https://github.com/m-labs/smoltcp/pull/177#discussion_r172243448
<GitHub28> [smoltcp] whitequark commented on pull request #177 3756ea1: All three branches have inconsistent capitalization. I prefer `membership query`, `membership report` and `leave group`. https://github.com/m-labs/smoltcp/pull/177#discussion_r172243866
<GitHub38> [smoltcp] whitequark commented on pull request #177 3756ea1: Based on the algorithm in `max_resp_time()`, doing `x.set_max_resp_time(x.max_resp_time())` will sometimes change the packet. This is obviously wrong. https://github.com/m-labs/smoltcp/pull/177#discussion_r172242930
<GitHub41> [smoltcp] whitequark commented on pull request #177 3756ea1: Is there any hardware that computes IGMP checksums? If not I'd prefer to not have this. https://github.com/m-labs/smoltcp/pull/177#discussion_r172242206
<GitHub31> [smoltcp] whitequark commented on pull request #177 3756ea1: Since not all `u16` values are valid for `max_resp_time` anyway, I think you should use `Duration` here, and silently clamp values to the closest valid ones. https://github.com/m-labs/smoltcp/pull/177#discussion_r172244389
<GitHub-m-labs> [artiq] cjbe commented on issue #947: The master is running from an external 150 MHz. The slave is starting off on the external 150 MHz and switching to the recovered clock when the DRTIO link is up. https://github.com/m-labs/artiq/issues/947#issuecomment-370476173
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #947: Data corruption from the Si5324 non-deterministic skew? Let's revisit this issue after the latter is fixed. https://github.com/m-labs/artiq/issues/947#issuecomment-370476690
rohitksingh has quit [Quit: Leaving.]
<GitHub-m-labs> [migen] jordens pushed 1 new commit to master: https://github.com/m-labs/migen/commit/7d3afa4cfdcd5c997eed73148fe191cb9165834a
<GitHub-m-labs> migen/master 7d3afa4 Robert Jordens: kasli: merge v1.0 and v1.1
<GitHub-m-labs> [artiq] marmeladapk commented on issue #908: a274af7 with SAWG... https://github.com/m-labs/artiq/issues/908#issuecomment-370489356
<GitHub-m-labs> [misoc] jordens pushed 1 new commit to master: https://github.com/m-labs/misoc/commit/bf82a812f3ce1bb452995fc5a377f2b501a4c349
<GitHub-m-labs> misoc/master bf82a81 Robert Jordens: kasli: pass hw_rev instead of platform
<bb-m-labs> build #255 of migen is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/migen/builds/255
<GitHub-m-labs> [artiq] marmeladapk commented on issue #908: a274af7 with SAWG... https://github.com/m-labs/artiq/issues/908#issuecomment-370489356
<sb0> _florent_, any update on jesd sc1?
marmelada has joined #m-labs
<_florent_> sb0: no yet, i try to test that soon
<marmelada> sb0: is there something obviously wrong I'm doing when I'm trying to compile kasli sysu variant?
<sb0> marmelada, no. i don't know what is going on.
<bb-m-labs> build #403 of misoc is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/misoc/builds/403
<sb0> does this happen only with this variant?
<marmelada> yes
<sb0> uh
<marmelada> earlier I compiled opticlock
<sb0> well look at the code, there is nothing special about that sysu variant
<sb0> what happens if you rename it or something?
<sb0> or paste the peripheral list into opticlock?
rohitksingh has joined #m-labs
<marmelada> hmm, wait I'll stash all my changes and check once again
<sb0> btw you can use the opticlock gateware with the ad9910, you just need to change the channel numbers in the device_db
<marmelada> oh, now you've got me interested
<marmelada> I tried to copy relevant parts from sysu device_db
<sb0> you just need to change pll_n in all channels, and refclk and clk_sel in the cpld
<marmelada> With this device_db we tested 9912 variant:
<marmelada> then we connected 9910 to the same slots, changed "refclk": 125e6, "clk_sel": 0, "pll_n": 32, module and class to 9910
<marmelada> is there something missing?
attie has quit [Ping timeout: 256 seconds]
<sb0> no
<sb0> not afaict
<sb0> it worked for me when I did that
<GitHub-m-labs> [artiq] marmeladapk commented on issue #908: a274af7 with SAWG... https://github.com/m-labs/artiq/issues/908#issuecomment-370489356
attie has joined #m-labs
<marmelada> ok we'll investigate tommorow
kyak has joined #m-labs
kyak has joined #m-labs
<GitHub83> [smoltcp] astro commented on pull request #177 413919f: Removed! https://github.com/m-labs/smoltcp/pull/177#discussion_r172266738
sb0 has quit [Quit: Leaving]
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #908: Can someone also double check the DRAM timings (e.g. activate-to-read delay, precharge-to-activate, etc.)? https://github.com/m-labs/artiq/issues/908#issuecomment-370502048
kyak has quit []
kyak has joined #m-labs
<GitHub-m-labs> [artiq] klickverbot commented on issue #945: Surely we can at the very least set aside a chunk of memory ourselves on startup to service DMA buffer requests from (i.e. use a local allocator backed by a preallocated region), or use the global allocator directly and handle failure without `oom()`ing? https://github.com/m-labs/artiq/issues/945#issuecomment-370502455
rohitksingh has quit [Quit: Leaving.]
<GitHub-m-labs> [artiq] klickverbot commented on issue #945: (Without having looked at the crash – famous last words – I presume this is from the buffer appending in `rtio_dma`. Can't we just replace the `Vec<u8>` by something that is backed by a local allocator and handles failure gracefully? Just hardcoding the amount of RAM to dedicate to DMA traces would be fine to start out with.) https://github.com/m-labs/artiq/i
rohitksingh has joined #m-labs
<GitHub-m-labs> [artiq] gkasprow commented on issue #908: @sbourdeauducq do you operate this DDR3 memory at 100MHz intentionally? The clock period is 10ns... https://github.com/m-labs/artiq/issues/908#issuecomment-370512248
rohitksingh has quit [Ping timeout: 260 seconds]
<rjo> marmelada: do you know which FPGA temperature grade was actually used on kasli/v1.0 and kasli/v1.1? on my old photos from v1.0 it looks like 2I or 2E but not the 2C i would have expected.
mumptai has joined #m-labs
<GitHub-m-labs> [artiq] enjoy-digital commented on issue #908: @gkasprow: the clock should be 500MHz. https://github.com/m-labs/artiq/issues/908#issuecomment-370551063
<GitHub-m-labs> [artiq] jordens commented on issue #908: @gaskprow are you sure the 10ns/div don't refer to the upper window? https://github.com/m-labs/artiq/issues/908#issuecomment-370558070
mumptai has quit [Quit: Verlassend]
<GitHub-m-labs> [artiq] gkasprow commented on issue #908: @jordens I will check it. On my other 4k series Tek scopes, all settings apply to the lower window. The upper one is just preview. But this is very old scope with Windows XP and the interface is different . The lower window is controlled by "magnification" knob so you may be right :D https://github.com/m-labs/artiq/issues/908#issuecomment-370559100
<GitHub-m-labs> [artiq] gkasprow commented on issue #908: @jordens You are right. The rise times don't look like it was 100MHz signal... https://github.com/m-labs/artiq/issues/908#issuecomment-370560549
<GitHub-m-labs> [artiq] gkasprow commented on issue #908: @hartytp I have the setup in place, can look at the clock and data lines with: 1x 5GHz diff probe and 3x 1GHz active probes. But it would make sense to look at the design where SAWG works, but it seems we need SDRAM working to do this. Am I right?... https://github.com/m-labs/artiq/issues/908#issuecomment-370572437
<GitHub27> [smoltcp] astro commented on issue #177: Thank you for the feedback.... https://github.com/m-labs/smoltcp/pull/177#issuecomment-370572648
<GitHub-m-labs> [artiq] gkasprow commented on issue #908: @sbourdeauducq do you use the impedance calibration resistor in your IP-core? On some boards it may not be installed which was already mentioned [here](https://github.com/m-labs/sinara/issues/209#issuecomment-332611147) https://github.com/m-labs/artiq/issues/908#issuecomment-370574749
<GitHub-m-labs> [artiq] gkasprow commented on issue #908: @sbourdeauducq do you use the impedance calibration resistor in your IP-core? On some boards it may not be installed which was already mentioned [here](https://github.com/m-labs/sinara/issues/209#issuecomment-332611147)... https://github.com/m-labs/artiq/issues/908#issuecomment-370574749
<GitHub-m-labs> [artiq] hartytp commented on issue #908: @gkasprow @marmeladapk Amazing, thanks for doing that, it's a **huge** help!... https://github.com/m-labs/artiq/issues/908#issuecomment-370586506
<GitHub-m-labs> [artiq] hartytp commented on issue #908: @gkasprow @marmeladapk Amazing, thanks for doing that, it's a **huge** help!... https://github.com/m-labs/artiq/issues/908#issuecomment-370586506
<GitHub-m-labs> [artiq] hartytp commented on issue #908: > Can someone also double check the DRAM timings (e.g. activate-to-read delay, precharge-to-activate, etc.)?... https://github.com/m-labs/artiq/issues/908#issuecomment-370586991
<GitHub-m-labs> [artiq] gkasprow commented on issue #908: @sbourdeauducq in depth analysis of the DDR timing using the scope is problematic due to the nature of the measurement. 1..2 pf of the probe disturbs the signal phase in significant way and scope channel to channel matching is far worse than time margin required by the DDR chip. So I can observe the signal integrity, power integrity but for in-depth inspection I use Hyp
futarisIRCcloud has joined #m-labs
acathla has quit [Ping timeout: 240 seconds]
acathla has joined #m-labs
acathla has quit [Changing host]
acathla has joined #m-labs