Topic for #milkymist is now Radical Tech Coalition :: Milkymist One, Migen, Milkymist SoC & Flickernoise :: Logs: http://en.qi-hardware.com/mmlogs
_whitelogger [_whitelogger!~whitelogg@2a00:ab00:1::4464:5550] has joined #milkymist
xiangfu [xiangfu!~xiangfu@fidelio.qi-hardware.com] has joined #milkymist
<cladamw_> wpwrak, How's the package ? Not sure the invoice from Wolfgang can help you or not.
<wpwrak> cladamw_: i'll try to find time to visit customs tomorrow. then i'll see. thu and fri were holidays, so tomorrow is the first day when i can try to salvage it.
<wpwrak> 52 commits ahead :)
<cladamw_> wpwrak, i see. I do really sorry that let it into this situation.
<wpwrak> ah well, let's hope the customs guys had a nice long weekend and are friendly :)
xiangfu [xiangfu!~xiangfu@123.115.4.234] has joined #milkymist
xiangfu_ [xiangfu_!~xiangfu@fidelio.qi-hardware.com] has joined #milkymist
cladamw [cladamw!~adamwang@host-222.80-43-115.dynamic.totalbb.net.tw] has joined #milkymist
wolfspraul [wolfspraul!~wolfsprau@p5B0AEFC6.dip.t-dialin.net] has joined #milkymist
mumptai [mumptai!~calle@brmn-4d0acd59.pool.mediaWays.net] has joined #milkymist
Martoni [Martoni!~chatzilla@ip-167-165.evhr.net] has joined #milkymist
xiangfu [xiangfu!~xiangfu@fidelio.qi-hardware.com] has joined #milkymist
nightlybuild [nightlybuild!~nightlybu@bearstech/nightlybuild] has joined #milkymist
elldekaa [elldekaa!~hyviquel@adm02.insa-rennes.fr] has joined #milkymist
elldekaa [elldekaa!~hyviquel@adm02.insa-rennes.fr] has joined #milkymist
xiangfu [xiangfu!~xiangfu@123.115.4.234] has joined #milkymist
r33p [r33p!~rep@bon31-2-89-85-157-97.dsl.sta.abo.bbox.fr] has joined #milkymist
sh4rm4 [sh4rm4!~sh4rm@gateway/tor-sasl/sh4rm4] has joined #milkymist
<GitHub151> [milkymist] sbourdeauducq pushed 5 new commits to master: https://github.com/milkymist/milkymist/compare/92eefac...b92595f
<GitHub151> [milkymist/master] fpvm.c: renaming decision in fpvm_assign got reversed - Werner Almesberger
<GitHub151> [milkymist/master] libfpvm: add also functions and internal variables to fnp.ids - Werner Almesberger
<GitHub151> [milkymist/master] libfpvm/unique.c: fix grow_table and strcmp_n - Werner Almesberger
<GitHub42> [milkymist] sbourdeauducq pushed 2 new commits to master: https://github.com/milkymist/milkymist/compare/b92595f...75e8acb
<GitHub42> [milkymist/master] libfpvm/Makefile: build now for RTEMS (instead of the demo firmware) - Werner Almesberger
<GitHub42> [milkymist/master] libfpvm: git rm -rf lm32-rtems/ - Werner Almesberger
cladamw [cladamw!~adamwang@host-222.80-43-115.dynamic.totalbb.net.tw] has joined #milkymist
<wpwrak> oh dear. so that's what really happens in those electronic brains
<kristianpaul> lol
<wpwrak> hmm, no trip to the airport today either. the national bank is on strike, to i couldn't pay the customs fees.
<kristianpaul> intentional strike?
<kristianpaul> i dont read too much news afaik..
<wpwrak> are there any unintentional strikes ? :)
<cladamw> ( 3 thinner traces between balls of spartan-6 fpga ) http://en.qi-hardware.com/wiki/File:M1rc3_0x74_XC6SLX45-2FGG484C_without_balls_2.png
<barbu-uucp> cladamw: ?
<barbu-uucp> yeah, many BGA packages are based on a piece of multilayer PCB with the chip bonded on it
<cladamw> yes. amazing though.
<barbu-uucp> you can take an old motherboard and crack open the BGA packages
<barbu-uucp> (separate the PCB from its cover)
<barbu-uucp> you'll see the chip and everything
<cladamw> he. That fpga I'll still use it. :-)
barbu-uucp [barbu-uucp!~lekernel@g225045118.adsl.alicedsl.de] has joined #milkymist
<GitHub152> [milkymist] sbourdeauducq pushed 4 new commits to master: https://github.com/milkymist/milkymist/compare/75e8acb...4fdae4a
<GitHub152> [milkymist/master] libfpvm: don't use TOK_* inside fpvm.c - Werner Almesberger
<GitHub152> [milkymist/master] libfpvm: split parser from fpvm.c (to fpvm-export.c) - Werner Almesberger
<GitHub152> [milkymist/master] libfpvm: export ast.h and split internal communication (to parser_itf.h) - Werner Almesberger
<wpwrak> hmm, should be fun to enable -Wshadow. produces lots of warnings :)
<wpwrak> of course, including the annoying y0, y1
<Thihi> So who develops the gui for MilkyMist One?
<Thihi> And who develops the flickernoise language?
<wpwrak> sebastien is the principal author of everything. but some others are lending a helping hand from time to time as well.
<Thihi> Ok.
_whitelogger [_whitelogger!~whitelogg@2a00:ab00:1::4464:5550] has joined #milkymist
<barbu-uucp> mwalle: btw, what's the reason for not including CFG_OUT/CFG_IN into urjtag?
elldekaa [elldekaa!~hyviquel@abo-168-129-68.bdx.modulonet.fr] has joined #milkymist
<kristianpaul> since demo firmware delete, so all trust to rtems now? :)
<wpwrak> we trust flickernoise. and our ability to win against the bugs of rtems :)
<kristianpaul> :)
<wpwrak> so of all the libs milkymist builds, FN only uses libfpvm
<wpwrak> now, let's see what the BIOS uses
<wpwrak> hmm, hpdmc, base, hal, net
mumptai [mumptai!~calle@brmn-4d0acd59.pool.mediaWays.net] has joined #milkymist
<wpwrak> barbu-uucp: plan for today: also add compiler warnings to milkymist. maybe this alone will be enough to reveal the source of the problem
<wpwrak> barbu-uucp: btw, what does the nick mean ? have you found some retro-computing equipment ? :)
<kristianpaul> he is using a uucp to tcp/ip link to gerthere :)
<kristianpaul> s/ger/get
r33p [r33p!~rep@bon31-2-89-85-157-97.dsl.sta.abo.bbox.fr] has joined #milkymist
<barbu-uucp> wpwrak: btw, we can probably get rid of libmath
<barbu-uucp> and of the soft-fp too
<barbu-uucp> the only place where it's needed now is the sinf() for the test program
<barbu-uucp> we can replace it with either a sinus LUT - to take care of Adam's ears during testing - or a more rash square/sawtooth wave
<barbu-uucp> or a fixed-point sinus, but I'm not familiar with those
<wpwrak> LUT sounds easiest :)
<barbu-uucp> or just copy sinf() from libmath into the test program :)
<barbu-uucp> and keep soft-fp, which doesn't cause much trouble
<wpwrak> an array and a few lines of perl still sound easier :)
<wpwrak> plus, we can then even use more complex sounds. if adam some day decides that a sine wave is boring and wants to hear some techno track, it will be easy to accommodate that wish :)
<barbu-uucp> nah, perl is more work
<wpwrak> (more work) you mean something like this ? perl -e 'print join ", ", map { int(sin($_/50)*127+127) } 0..314;'
<wpwrak> cute ;-)
<wpwrak> okay. one entire techno track in ~30 characters. i admit defeat.
<wpwrak> (around 02:10)
<wpwrak> i'm just waiting for one that has vocals, too
<barbu-uucp> the 5:14 is pretty cool too
<mwalle> orignally, (1) it wasnt included in the bsdl file (2) you cant specify a valid length for the DR register
<mwalle> barbu-uucp: btw whats barbu ?
<barbu-uucp> bearded :)
<barbu-uucp> in french
<mwalle> ok
<barbu-uucp> but what happens with the length of the DR register when you add the instructions manually?
<kristianpaul> get rid of libnet when having a 2Mpbs uart core too :)
<mwalle> barbu-uucp: its always overwritten, feel free to submit a patch to the urjtag ml, i'll ack it then ;)
<mwalle> kristianpaul: well having a 4mbit uart, i get only about 50kbps with gdbstub
<mwalle> havent tried it with serialboot yet
<kristianpaul> hmm
<wpwrak> 6:50 is pretty neat for the size
methril [methril!~methril@188.141.121.132] has joined #milkymist
methril_ [methril_!~methril@188.141.121.132] has joined #milkymist
errordeveloper [errordeveloper!~Dmitriche@host86-173-185-170.range86-173.btcentralplus.com] has joined #milkymist
<GitHub189> [migen] sbourdeauducq pushed 3 new commits to master: https://github.com/milkymist/migen/compare/eee6980...0ea7a9b
<GitHub189> [migen/master] fhdl: allow a namespace to be specified for Verilog conversion - Sebastien Bourdeauducq
<GitHub189> [migen/master] wishbone: only send ack to the active master in arbiter - Sebastien Bourdeauducq
<GitHub189> [migen/master] wishbone2csr: fix double-write bug - Sebastien Bourdeauducq