Topic for #milkymist is now Radical Tech Coalition :: Milkymist One, Migen, Milkymist SoC & Flickernoise :: Logs: http://en.qi-hardware.com/mmlogs
aw_ joined #milkymist
aw joined #milkymist
<kristianpaul> !seen yi
<qi-bot> kristianpaul, yi? hmm... I'm trying to remember... maybe... I'm not sure... no. I don't remember yi.
DJTachyon joined #milkymist
<wpwrak> kristianpaul: i don't she's here a lot. better try mail
<kristianpaul> sent
<kristianpaul> hum topic changed, llhdl and antares not part of the free technology is beautifu slogan? :-)
<wpwrak> antares, qu'est-ce que c'est ?
<kristianpaul> i think was P&R for s6 if no the bitstream generator too
<kristianpaul> s/was/is
<wpwrak> oh. from xilinx ? didn't think they used "nice" names
<kristianpaul> no no, free implementatio from lekernel research
<kristianpaul> lol
<wpwrak> aah ! it did sound a little french :)
<kristianpaul> xD
wolfspraul joined #milkymist
wolfspraul joined #milkymist
<Thihi> wolfspraul, So how does one update the milkymist?
<Thihi> Do you distribute updates as files manually downloadable somewhere and then transferred to it, or is it in some way automatic or semiautomatic?
<wolfspraul> ah yes, hi Thihi! :-)
<Thihi> :)
<Thihi> Hi.
<wolfspraul> Thihi is our finnish independent journalist friend who got one of our prized European review units for a few months, to hopefully fall in love with :-)
<wolfspraul> and he just got it!
<wolfspraul> great
<Thihi> I'm getting it on friday, to be precise. It's still being photographed. :/
<wolfspraul> about updating, there is something called 'web update'
<Thihi> They won't let me make fingerprints.
<Thihi> Before it's been in front of a really expensive camera ;P
<wolfspraul> ouch
<Thihi> :)
<wolfspraul> there might be fingerprints on it from where it was before (MSL), but ok
<Thihi> That's ok.
<Thihi> They just want it as pristine as possible.
<wolfspraul> I suggest you first try it the way it is, without update
<Thihi> :)
<wolfspraul> if that works well, we can try web update
<Thihi> Yeah, ok cool.
<wolfspraul> web update means that you plug in the Ethernet cable going to your dhcp-capable router, then turn on the m1
<wolfspraul> (has to be like that because the older software is quite fragile on dhcp, will only try once at boot)
<Thihi> Ok.
<wolfspraul> if the dhcp works, you should be able to just click on an 'update' button in the gui, which will download and reflash stuff, and eventually reboot
<Thihi> Easy enough then.
<wolfspraul> yeah if it works :-)
<Thihi> If all goes as planned.
<Thihi> Yeah.
<Thihi> :)
<wolfspraul> yes
<wolfspraul> and that will not update the very first bitstream (called 'standby bitstream')
<wolfspraul> we also made a small change in the standby bitstream by removing standby mode
<wolfspraul> that is you don't have to press the middle button anymore to boot, it will boot automatically after power-on
<wolfspraul> if you want to get that, you need to update the standby bitstream with either ftp or jtag, not exactly sure
<wolfspraul> but let's update the rest first, that's far more important than the 1 fix in the standby bitstream
<wolfspraul> that's about all
<Thihi> Ok.
<Thihi> Sounds cool. I'll try it on friday.
<wolfspraul> it would be great if you would be live in the channel here when you do the update
<Thihi> Sure.
<wolfspraul> that's helpful for you and everybody else here to smooth out things, misunderstandings etc.
<wolfspraul> first impressions are priceless!
<wolfspraul> please share them
<wolfspraul> all the little things you run into that confuse you...
wolfspraul joined #milkymist
aw_ joined #milkymist
aw joined #milkymist
xiangfu joined #milkymist
xiangfu joined #milkymist
krispaul joined #milkymist
<wolfspraul> xiangfu: hi good morning
<xiangfu> Hi
<wolfspraul> Thihi got a review M1 with the original 07-13 software. can he just run web update and it will all get updated?
<wolfspraul> including latest patches and pictures and all?
<xiangfu> wolfspraul, yes. I can't remember if there is 'webupdate' button in 07-13. if there is the button. yes.
<xiangfu> when click 'webupdate' the m1 will get updated include all patches not picture.
<xiangfu> after update to latest version. click webupdate again. will download the pictures.
<xiangfu> wolfspraul, 'webupdate' will not touch 'standby.fpg'
<wolfspraul> there is an update button in 07-13
<wolfspraul> but dhcp will only happen at boot time, I think
<wolfspraul> can Thihi update the standby with ftp? or only jtag?
<xiangfu> ok. then 1. webupdate under 07-13 will update soc, flickernoise, patches only .fnp files
<xiangfu> (dhcp) there is DHCP button under 'setting' so. if failed click the button again. or manually set the ip address
<xiangfu> standby only in jtag.
<wolfspraul> ok
<wolfspraul> thanks!
n0carri3r joined #milkymist
<n0carri3r> evening guys :)
<wolfspraul> good evening!
<wolfspraul> n0carri3r: hey, sorry, but is there a chance still for me to get a high-res download link for the cheap dinosaurs video?
<n0carri3r> ahh, emily never replied?
<wolfspraul> not to me
<n0carri3r> OK i'll remind her :)
<wolfspraul> you think she is ok with it being freely licensed?
<n0carri3r> i'm sure
<wolfspraul> I really hate to bug people on this licensing mess, it's such a drag
<wolfspraul> ok, done
<wolfspraul> I will scrape from youtube and use that
<wolfspraul> let's focus on the good stuff
<n0carri3r> thats good enough?
<wolfspraul> that's the best considering that anything else bugs people and wastes their time :-)
<wolfspraul> how about your patches? can you find time to upload some? (we need to make that easier as well, asap)
<wolfspraul> which controllers do you typically use?
<wolfspraul> we are quite serious on better controller integration now, maybe we can focus on the one you have if it's easy to get/cheap...
<n0carri3r> i'm hooking up the MM now
<n0carri3r> i'll clean up and copy patches to upload :)
<wolfspraul> excellent
<n0carri3r> you mean MIDI controllers?
<wolfspraul> yes
<wolfspraul> or OSC
<n0carri3r> havent had time to experiment with either
<n0carri3r> however, i have a few MIDI controllers around here
<n0carri3r> so far i've been using keyboard and mouse, but i really want to use USBMIDI or OSC soon!
<wolfspraul> which controllers do you have?
<wolfspraul> can you give us a quick list?
<n0carri3r> yeah one sec
<wolfspraul> wpwrak: should I make a quick more realistic invoice for your package? I can send you the PDF if that helps you
<n0carri3r> well, i have a few around here, mostly my roommates
<n0carri3r> but i would like to use the nanokontrol
<n0carri3r> as it has buttons, faders, and dials on it
<n0carri3r> and its only about US$40
<wolfspraul> ok
<wolfspraul> werner has a nanokontrol2
<n0carri3r> backing up my SSD now
<n0carri3r> then gonna update - finally :)
<xiangfu> I order 5 DMX cables. for connect those DMX device together.
<wolfspraul> n0carri3r: any other controllers you like/would like to use?
<n0carri3r> that one is very portable, so i would probably start with that
<n0carri3r> by the way, how is OSC currently implemented?
<n0carri3r> do i have to have it hooked up to a computer for network sharing?
<wolfspraul> probably the Ethernet has to be plugged into a router or so that can answer DHCP and make the m1 visible on the network
<wolfspraul> xiangfu: is that correct to get OSC working?
<n0carri3r> i see
<n0carri3r> i am updating data, with the ethernet cable into my laptop
<n0carri3r> with internet sharing turned on
<n0carri3r> would that work for OSC, too?
<xiangfu> n0carri3r, yes. that is also ok.
<n0carri3r> OK, great.
<xiangfu> n0carri3r, yes. sure.
<n0carri3r> i'll try it with touch OSC
<xiangfu> n0carri3r, as long as network works. OSC works. :)
<n0carri3r> (for iPod / iPad)
<xiangfu> n0carri3r, hmm... touch OSC is different.
<n0carri3r> oh?
<xiangfu> n0carri3r, the 'address' of touch OSC is hardcode
<xiangfu> n0carri3r, and not meet with m1's osc.
<n0carri3r> ahh i see
<wolfspraul> xiangfu: why not? how can we make this work?
<xiangfu> wolfspraul, hardcode the address to flickernosie. make it meet with touch OSC
<xiangfu> wolfspraul, but I think every OSC application is different and all hardcode inside.(I tried three different OSC apps under Android)
<xiangfu> all of them is different.
<xiangfu> wolfspraul, the best is we could configure those under flickernoise.
<xiangfu> that is needs some work.
<wolfspraul> you mean ports?
<wolfspraul> can't we just listen on multiple ports?
<xiangfu> not ports.
<xiangfu> now we have four 'addresses' : /midi, /patch, /variable, /osd
<xiangfu> the touchOSC output would like: /1/fader5
<xiangfu> n0carri3r, oscdump and oscsend will help a lot at begin.
<n0carri3r> the update worked! the star ballet patches look good :)
<n0carri3r> gonna try some of my own images now!
<xiangfu> OSC, have hostname, port, address and types of address.
<xiangfu> n0carri3r, great. :)
<n0carri3r> hmm when i FTP in, something is different now
<n0carri3r> i can't seem to access the directories
<n0carri3r> before i saw ramdisk, SSD, and dev
<n0carri3r> now i see dev and SSD, but i can't seem to view the contents of SSD
<wolfspraul> n0carri3r: did you backup your patches somewhere?
<wolfspraul> I hope you don't loose them, it already happened a few times
<n0carri3r> i did :)
<n0carri3r> just before i updated
<wolfspraul> phew, great
<n0carri3r> but they are still on the MM
<wolfspraul> ok good
<n0carri3r> i just cant seem to FTP in correctly for some reason
<n0carri3r> hmm this is strange
<wolfspraul> sorry have to run, bbiab
<n0carri3r> xiangfu: any idea why i can't access the SSD after the update?
<n0carri3r> i'm FTP'ing in to the MM, but i can't access the directory
<wolfspraul> I think we changed the root
<wolfspraul> so that people don't accidentally write to an area that will get lost on a power cycle
<wolfspraul> so maybe the former /ssd is now the root? I am not sure...
<n0carri3r> yeah i cant seem to access it at all now
<n0carri3r> which isnt good!
<wolfspraul> what do you want to access?
<wolfspraul> sorry have to run, hopefully xiangfu is back soon
<wolfspraul> just write what you want here, we'll do it
<n0carri3r> i just want to FTP in, so i can back up new patches i write
<n0carri3r> and right now, i want to FTP in so i can upload new images to the SSD
<n0carri3r> to use in patches
<n0carri3r> but i cant access the SSD folder now
<wolfspraul> but you maybe in the /ssd folder already
<wolfspraul> it's the root now
<n0carri3r> i see the dev and ssd folders when i log in there
<n0carri3r> so i dont think i'm there
<wolfspraul> hmm
<n0carri3r> and if i am, i dont see any of my patches
<n0carri3r> they are all there, as i can see them on my MM if i browse files
<wolfspraul> sounds like a bug/regression then
<n0carri3r> hmm
<wolfspraul> we'll figure it out
<wolfspraul> bbiab
<n0carri3r> ahh seems to be filezilla
<n0carri3r> i can connect with another software
<n0carri3r> strange!
<n0carri3r> hmm but the files seem to be read-only, so i cant download stuff from the SSD now
<n0carri3r> now it seems to be working
<n0carri3r> with transmit for OSX
<n0carri3r> but not with finder or filezilla
lekernel_ joined #milkymist
aw joined #milkymist
aw_ joined #milkymist
lars_ joined #milkymist
mwalle joined #milkymist
qi-bot joined #milkymist
xiangfu joined #milkymist
<xiangfu> <n0carri3r> yes. that is a bug
<xiangfu> <n0carri3r> for now ftp is not work with some GUI ftp applicatioins. command line tools 'ftp' always working.
<xiangfu> <n0carri3r> i will fill a bug about this.
azonenberg joined #milkymist
<lekernel_> we have not changed the root, the FTP configuration is exactly as before
<lekernel_> I simply think RTEMS introduced some bugs in their FTP server
aw joined #milkymist
aw_ joined #milkymist
<lekernel_> the only difference between the 1.0rc1 (July 13) software and the later versions is that RTEMS has been synced with upstream, as far as FTP is concerned ...
<wpwrak> you'd think after all those years, people would get FTP right ;-)
<xiangfu> (simply think RTEMS introduced some bugs in their FTP server) this is true.
<wpwrak> i suspect it may be quoting. well, or just some general string operations issue
r33p joined #milkymist
Martoni joined #milkymist
<wpwrak> nice variable name: "wave_mystery"
Martoni joined #milkymist
<xiangfu> Scopeuk, Hi
<xiangfu> Scopeuk, about those DMX devices. :) the 'DMX desk' under flickernoise. works just fine.
<xiangfu> I can connect those DMX devices to Milkymist one. and use 'DMX desk' control them.
<xiangfu> I still not test connect more then one Devices. because I only have one DMX cable. I order 5 more.
<xiangfu> should be ok.
<xiangfu> and flickernosie have 8 output channels, that is great.
<xiangfu> all those device have 'sound active mode' but I don't think it works well. because the FAN nosies inside is louder then music a lot.
<wpwrak> "sc->p->pervertex_prog" sweet ! ;-)))
<xiangfu> wpwrak, I will try to do same thing you did with MIDI controller. but I will use one DMX controller. :)
<xiangfu> wpwrak, should be ok. since they are all variables under flickernosie.
<wpwrak> yup, that should work fine
wolfspraul joined #milkymist
<wolfspraul> xiangfu: sorry I didn't understand our OSC 'address' problems
<wolfspraul> so M1 has an IP address, and OSC works over one (or several?) ports?
<wolfspraul> what's the address issue?
<wolfspraul> is there some sort of OSC namespace that we have to fit into?
<xiangfu> wolfspraul, wait one moment. back in ~20 minutes. sorry.
<wolfspraul> sure, take your time
<aw_> wpwrak, according to pericom's tmds trace length @( 280 mil = 7.1 mm ) 1/16 wavelength and @( 370 mil = 9.3 mm) 1/3 length of rising edge of signal, those results derived and based on FR4.
<aw_> wpwrak, I am still reading that application note to digest.
<lekernel_> what's wrong with sc->p->pervertex_prog ?
<aw_> you mentioned fpga pins reassignment is inevitable. especially to use bank2 with differential tmds signals. hmm ... the wires from bank2 now is quite messy with usb/flash/video-in.
<lekernel_> wolfspraul: http://liine.net/en/products/lemur/
<wolfspraul> lekernel_: interesting, nice
<wolfspraul> so yes, they focus on software and use the ipad
<wolfspraul> I think that move makes sense if you have an existing business to protect
<wolfspraul> I mean just looking at all this from the distance
<wolfspraul> you cannot compete with the ipad head on, better to use it, then think about next hardware steps, if any :-)
sh4rm4 joined #milkymist
aw_ joined #milkymist
aw joined #milkymist
wolfspraul joined #milkymist
<wolfspraul> aw_: I think we plan to avoid moving currently assigned pins
<wolfspraul> definitely for now. it would cause difficulties to build and test bitstreams.
<aw_> until now, directly wiring 14 pieces differential signals from messy 'overlayed' area from bank2 seems is a must(supposedly), I'm still reading from http://lists.milkymist.org/pipermail/devel-milkymist.org/2011-October/001966.html
<wpwrak> aw_: (pericom) eh, what's the context ? :)
<wpwrak> aw_: (inevitable reassignment) what i meant is that i'm certain that, at some point in time, we will have to reassign pins. what i don't know is when that time will come :)
<aw_> I'd like to manually draw a proposed fpga pins of assignments to see how signals/complexities house may face up to firstly.
<wolfspraul> yes ok
<wolfspraul> but we don't want to move existing pins
<wpwrak> lekernel_: (pervertex_prog) i just find it funny that it has "pervert" as prefix :)
<aw_> wpwrak, wolfspraul have had this topic goal (don't move existing pins) but at some points. Yes we don't know yet to if having a reassignment soon.
<aw_> but I'll bring house's idea about layers/difficulties v.s. cost
<wpwrak> wolfspraul: (osc) to transport OSC over UDP, you need IP and UDP port. but then, inside the OSC message, you have a string that identifies what entity you want to talk to. e.g., we have one that's called /midi
<aw_> wpwrak, (pericom) : page 2 from http://www.pericom.com/pdf/applications/AN204.pdf
<wolfspraul> aw_: we know now that we don't want to move existing pins, at this point
<wpwrak> wolfspraul: seems that there's no universal convention for these names, so everyone has their own crazy little set. they're basically like path names for files. maybe they took the idea from dbus
<aw_> wolfspraul, yes, i know. just study only and try to bring more info then plan to meet with house. :-)
<wolfspraul> aw_: sure, study is always good
<wpwrak> aw_: (pericom) hmm, looks hairy
<aw_> wpwrak, check both pericom and this: http://www.xilinx.com/support/documentation/application_notes/xapp495_S6TMDS_Video_Interface.pdf (see page 11) no matter placing hdmi receiver or transmitter, the tmds signals are much close to connector. as a matter. i.e. tmds signals should as closer as possible to dvi-i connector.
<lekernel_> they conveniently do not mention 1080p
<wpwrak> aw_: JA (the one directly connected) doesn't seem be extremely close ?
<wpwrak> (the others have buffers, so that may change the rules)
<aw_> wpwrak, (the buffers) it probably be the goal. so if directly wiring from fpga, it seems that we misunderstood at all. If we are now looking at that (pericom) theory shows.
<wpwrak> lekernel_: maybe they follow buddhism: "In the case of words that the Tathagata knows to be factual, true, unbeneficial, unendearing and disagreeable to others, he does not say them." ;-)
<aw_> wpwrak, i just not sure if my study/understand is correct or not. so just I just pointed up.
<aw_> so if yes, adding buffer plus moving vga encoder are also a super tough work under current board size. If I read misunderstood, please correct me.
<lekernel_> why is Adam still discussing adding a buffer? we won't.
<wpwrak> i think he's just looking at how other people do this and why they make certain choices
elldekaa joined #milkymist
rejon joined #milkymist
n0carri3r joined #milkymist
<kristianpaul> from llhdl mail list in case not all people here is suscribed
<kristianpaul> oops
<kristianpaul> this looks prety interesting http://legup.eecg.utoronto.ca/
<kristianpaul> also uses llvm, lekernel_ may take a look ? ;)
<kristianpaul> Only non-commercial, not-for-profit use of this software is permitted, bah :(
<kristianpaul> bah..
xiangfu joined #milkymist
<wolfspraul> kristianpaul: :-)
<wolfspraul> amazing, eh?
<wolfspraul> there are more stylish ways to express that you feel good in the past, but some people lack that style so they do this -nc stuff instead :-)
<kristianpaul> universities funded reserach, with its "excuse" of _only_ educational stuff, waiting for the best bif from a big corp
<kristianpaul> is like a myth, i have a frien work on a social project for a public univrsity here, i asked for lincese of his work, and he reply all copyright and right belong to university, (even with this is for public common wealth??)
<kristianpaul> oh well
<lekernel_> c-to-verilog is a dead end
r33p joined #milkymist
<kristianpaul> may be support in c for verilog based libraries is a better aprouch
<kristianpaul> just like your libfpvm
<wolfspraul> kristianpaul: I think academia has missed the free culture boat
<lekernel_> also, most academic tools do not work and/or are written using inane languages such as slowc++ (aka java)
<kristianpaul> he, i agree
<wolfspraul> we are sailing on our own now, but I'm not looking back
<kristianpaul> java, yeah :( first language i was tought at university :(
<wolfspraul> that's certainly true for computer science, haven't made up my mind yet about electronic engineering/ic design etc.
<lekernel_> it should be called "slowc++" :) decribes pretty well what's it's all about: combining the unfriendliness of C++ with the slowness of interpreted languages
<wolfspraul> there's too little free culture in those areas right now (which hopefully Milkymist will change), so I cannot tell
elldekaa joined #milkymist
<wpwrak> "--c" ? :)
<wpwrak> wolfspraul: i don't think CS has missed free culture. lots of projects are open source, including big ones. you should have seen the sorry state of things in the 80es and early 90es.
<wpwrak> wolfspraul: e.g., in OS research, the standard way of doing things was to get a source license from Sun, then hack your stuff, and distribute object files. fast-forward to the late 90es and beyond: if what you do isn't opens source (in the case of linux, with the right terms conveniently being enforced by the GPL), you'll simply be ignored
<wpwrak> wolfspraul: you may still be able to collect enough grant money to stay afloat, but that's about all you can hope for
<kristianpaul> hey, xiangfu bought a fpga miner board :)
<kristianpaul> wow dual spartan6 !
<GitHub34> [migen] sbourdeauducq pushed 1 new commit to master: https://github.com/milkymist/migen/commit/1b637cea618828f85ba6ee29ba6fb0178d4607b5
<GitHub34> [migen/master] Instance support - Sebastien Bourdeauducq
antgreen joined #milkymist
rojas joined #milkymist
<rojas> Hello
<wpwrak> lekernel: after switching to libc, make in software/libfpvm/ fails, as expected. shall i redirect it to lm32-rtems/, since this probably what people want ?
<wpwrak> another unhappy day for those who don't like patches in their mailbox
kristianpaul joined #milkymist
kristianpaul joined #milkymist
<lekernel> wpwrak: yes
<lekernel> actually, remove the lm32-rtems folder, and build everything at the root (no redirect), since this is the only supported configuration
<lekernel> though we can keep one subfolder for x86 tests ...
<lekernel> and i'll remove the demo firmware, don't send a patch for this (it'd be a mess :p)
<GitHub165> [migen] sbourdeauducq pushed 2 new commits to master: https://github.com/milkymist/migen/compare/1b637ce...c43f3da
<GitHub165> [migen/master] Simple bus base class - Sebastien Bourdeauducq
<GitHub165> [migen/master] Wishbone declarations - Sebastien Bourdeauducq
<GitHub133> [migen] sbourdeauducq pushed 1 new commit to master: https://github.com/milkymist/migen/commit/ed05ec5f6a2be6eeb332e9040b0a2124a8348e52
<GitHub133> [migen/master] instances: signal override - Sebastien Bourdeauducq
<wpwrak> (mess) oh yes ;-)
<GitHub11> [migen] sbourdeauducq pushed 1 new commit to master: https://github.com/milkymist/migen/commit/5720a51dad05bd26c51558605dc85d32d16e8246
<GitHub11> [migen/master] wishbone: add missing SEL - Sebastien Bourdeauducq
<GitHub121> [migen] sbourdeauducq pushed 1 new commit to master: https://github.com/milkymist/migen/commit/7c99e51b907e76553b1474d18a4434c5e1950fd7
<GitHub121> [migen/master] Named buses - Sebastien Bourdeauducq
elldekaa joined #milkymist
<wpwrak> hmm. changing the makefile breaks makedepend
<wpwrak> or, rather, makes it a little bitchy
<wpwrak> well, let's worry about that later
kristianpaul joined #milkymist
kristianpaul joined #milkymist
<GitHub154> [migen] sbourdeauducq pushed 3 new commits to master: https://github.com/milkymist/migen/compare/7c99e51...84eb964
<GitHub154> [migen/master] corelogic: round-robin module - Sebastien Bourdeauducq
<GitHub154> [migen/master] verilog: fix unary operator conversion - Sebastien Bourdeauducq
<GitHub154> [migen/master] fhdl: support negation operator - Sebastien Bourdeauducq
<GitHub114> [migen] sbourdeauducq pushed 2 new commits to master: https://github.com/milkymist/migen/compare/84eb964...5034af3
<GitHub114> [migen/master] corelogic: MC divider module - Sebastien Bourdeauducq
<GitHub114> [migen/master] Corelogic conversion example - Sebastien Bourdeauducq
<GitHub82> [migen] sbourdeauducq pushed 1 new commit to master: https://github.com/milkymist/migen/commit/512655c108a072773fb910193261c03844300b08
<GitHub82> [migen/master] fhdl: improve automatic signal naming - Sebastien Bourdeauducq
r33p joined #milkymist
<kristianpaul> how looks verilog code generated from that?
<wpwrak> kristianpaul: after the ==============
<kristianpaul> oh lol yes
<kristianpaul> nv, i tought that stil python, too much tabs xD
sh4rm4 joined #milkymist
kristian1aul joined #milkymist
kristianpaul joined #milkymist
kristianpaul joined #milkymist
<GitHub141> [clang-lm32] jpbonn pushed 150 new commits to master: http://git.io/4w_x0A
<GitHub141> [clang-lm32/master] Implement name hiding for declarations deserialized from a non-visible - Douglas Gregor
<GitHub141> [clang-lm32/master] Driver/Darwin: Add ASAN runtime library link support. - Daniel Dunbar
<GitHub141> [clang-lm32/master] When we're passing a vector with an illegal type through memory on x86-64, use byval so we're sure the backend does the right thing. Fixes va_arg with illegal vectors and an obscure ABI mismatch with __m64 vectors. - Eli Friedman
<GitHub125> [llvm-lm32] jpbonn pushed 150 new commits to master: http://git.io/HmbX5A
<GitHub125> [llvm-lm32/master] LSR: prune undesirable formulae early. - Andrew Trick
<GitHub125> [llvm-lm32/master] Rename "Trap Values" to "Poison Values", to better reflect their - Dan Gohman
<GitHub125> [llvm-lm32/master] Line up the comments in a code example. - Dan Gohman
<GitHub18> [migen] sbourdeauducq pushed 3 new commits to master: https://github.com/milkymist/migen/compare/512655c...c1041b9
<GitHub18> [migen/master] verilog: handle default in case statements - Sebastien Bourdeauducq
<GitHub18> [migen/master] corelogic: multimux module - Sebastien Bourdeauducq
<GitHub18> [migen/master] simplebus: export GetSigName function - Sebastien Bourdeauducq
<wpwrak> the example looks fairly cryptic. at least i can figure out what it does in the verilog form :-)
<GitHub155> [migen] sbourdeauducq pushed 1 new commit to master: https://github.com/milkymist/migen/commit/5c7131dc86f6ea84a66e37c4371ca3b1c902704d
<GitHub155> [migen/master] wishbone: arbiter - Sebastien Bourdeauducq
<lekernel> cryptic? well, it's concise and you probably have to dive a bit in the code to understand it :)
<lekernel> how about this one? http://pastebin.com/LGsRADak
<lekernel> (ofc the [cpu.ibus, cpu.dbus] list can be arbitrarily extended and it does the right thing)
<wpwrak> and how does that "glue" translate to verilog ? (i support sharedbus and arbiter themselves incorporate major functional blocks and thus produce a huge amount of verilog ?)
<kristianpaul> can it generate FSMs?
<wpwrak> and what's a "fragment" ? what would be the corresponding whole ?
<lekernel> it generates code comparable to this little mess: https://github.com/milkymist/milkymist/tree/master/cores/conbus/rtl
<lekernel> yes, it can generate FSM
<lekernel> a "fragment" is a piece of logic (combinatorial and/or sequential)
<lekernel> the exact generated code here is http://pastebin.com/Jrw5CN1B
<wpwrak> looks like a case for macros ;-)
<lekernel> ?
<lekernel> among many other limitations, you can't automatically generate case values with macros
<wpwrak> the .v examples (with a lot of redundancy) look like things a macro processor could handle well.
<wpwrak> why not ?
<lekernel> well, the verilog preprocessor doesn't handle it
<wpwrak> the generated code looks different - seems to be mainly "library" and little "glue", though ?
<lekernel> of course you can have your own macro preprocessor... or something more powerful :)
<lekernel> here there's a quite bit of "library", but in the previous example you'd have a hard time using macros
<wpwrak> yeah. if the standard macro preprocessor ain't good enough, do your own :)
<lekernel> btw, the library here uses the code from the previous example, only with n=2
<lekernel> also, macros are bound to a language, here generating VHDL is semi-trivial
<kristianpaul> last pastebin doest look that bad compared to conbus indeed :)
<wpwrak> i see one limitation of macros would be that you have "N of these" constructs. so you'd need some kind of iterator in your macros. doable, of course.
<lekernel> here I have python iterators. much more powerful, and I'm not reinventing the wheel :)
<wpwrak> (n=2) yes, that's why the generated part doesn't look very redundant
<lekernel> redundancy isn't a problem as long as it's machine generated :)
<wpwrak> yeah :)
<wpwrak> what's what we have those machines for :)
<Thihi> Darn.
<Thihi> The person who sent me my review milkymist didn't send the euro area ac adapters.
<Thihi> Well, I guess I'll go buy a few uk -> euro adapters.
rejon joined #milkymist