<tpw_rules> esden: are all the icebreakers i'm hearing of prereleases? is the jul 1 2019 ship date on crowdsupply still accurate?
<esden> tpw_rules: all the iCEBreakers that people have in their hands now, are either prototypes, or prep production units from 35C3. The crowd supply rewards will start shipping as soon as we start proucing. All will be documented on twitter and through CS campaign updates.
<tpw_rules> cool, thanks for the information
<esden> np ;)
<tpw_rules> oh blah, i missed out by like 24 hours
<esden> yeah, well it was running for 30 days to be fair ;) But fortunately you can now pre-order through CS: https://www.crowdsupply.com/1bitsquared/icebreaker-fpga
<tpw_rules> also to be fair i just found a reason to get one today
<tpw_rules> irregardlessly. my order is placed. it will be a nice surprise in a few months i guess
<esden> hehe, yeah it will take some time. I am glad you found a reason to get one. :D
<esden> I will do my best to keep that time as short as possible.
<tpw_rules> blargh why does crowdsupply need a phone number
<esden> shipping needs phone numbers for customs
<tpw_rules> oh
<esden> other wise you might not get your thing and wonder where the hell it is, and they are trying to call you.
Flea86 has joined ##openfpga
<esden> Had the pleasure to talk with DHL/Fedex employees on semi regular basis. :D
_whitelogger has joined ##openfpga
Xarky has joined ##openfpga
inoor has quit [Quit: inoor]
Flea86 has quit [Ping timeout: 272 seconds]
dj_pi has joined ##openfpga
Flea86 has joined ##openfpga
unixb0y has quit [Ping timeout: 245 seconds]
unixb0y has joined ##openfpga
dj_pi has quit [Ping timeout: 240 seconds]
Xarky has quit [Quit: Page closed]
<_whitenotifier-e> [Glasgow] whitequark reviewed pull request #94 commit - https://git.io/fhna4
<_whitenotifier-e> [Glasgow] whitequark reviewed pull request #94 commit - https://git.io/fhnaR
genii has joined ##openfpga
<_whitenotifier-e> [Glasgow] gregdavill reviewed pull request #94 commit - https://git.io/fhnay
<_whitenotifier-e> [Glasgow] gregdavill synchronize pull request #94: protocol.jtag_svf: Support multiline literal values. - https://git.io/fhnuk
genii has quit [Remote host closed the connection]
<_whitenotifier-e> [Glasgow] Success. The Travis CI build passed - https://travis-ci.org/whitequark/Glasgow/builds/478927933?utm_source=github_status&utm_medium=notification
<_whitenotifier-e> [Glasgow] whitequark closed pull request #94: protocol.jtag_svf: Support multiline literal values. - https://git.io/fhnuk
<_whitenotifier-e> [whitequark/Glasgow] whitequark pushed 1 commit to master [+0/-0/±1] https://git.io/fhnaA
<_whitenotifier-e> [whitequark/Glasgow] gregdavill 12ba012 - protocol.jtag_svf: accept and ignore whitespace in scan data.
Miyu has quit [Ping timeout: 250 seconds]
<_whitenotifier-e> [Glasgow] Success. The Travis CI build passed - https://travis-ci.org/whitequark/Glasgow/builds/478928516?utm_source=github_status&utm_medium=notification
pie__ has joined ##openfpga
pie_ has quit [Ping timeout: 258 seconds]
_whitelogger has joined ##openfpga
Bike has quit [Quit: Lost terminal]
soylentyellow__ has joined ##openfpga
soylentyellow_ has quit [Ping timeout: 272 seconds]
lineprinter_ is now known as parport0
rohitksingh has quit [Ping timeout: 272 seconds]
rohitksingh has joined ##openfpga
rofl_ is now known as jcarpenter2
_whitelogger has joined ##openfpga
<whitequark> daveshah: so, i made the mffc partitioner
<whitequark> can yo utake a look
rohitksingh has quit [Ping timeout: 250 seconds]
<daveshah> whitequark: sure
ayjay_t has quit [Read error: Connection reset by peer]
ayjay_t has joined ##openfpga
rohitksingh has joined ##openfpga
<daveshah> All looks reasonable to me
<whitequark> thanks
<whitequark> it's really impressive how i spent like 6 days completely stuck on it and all it took was 50mg of L-DOPA to finish all t he MFFC stuff in maybe a hour
<Flea86> whitequark: Neat, 1980's stimulus of choice for devs used to be diet coke :D
C_Elegans has joined ##openfpga
azonenberg has quit [Quit: Leaving.]
azonenberg has joined ##openfpga
C_Elegans has quit [Ping timeout: 272 seconds]
<_whitenotifier-e> [Glasgow] whitequark commented on issue #76: spi-flash-25c erase-program command broken - https://git.io/fhnrW
<_whitenotifier-e> [Glasgow] whitequark commented on issue #76: spi-flash-25c erase-program command broken - https://git.io/fhnrl
<whitequark> Flea86: no, that's not even a stimulant, it's something to fix complete inability to do even the most basic chore
<whitequark> like, i also spent those 6 days unable to add 1 (one) line to a config
<whitequark> cf. too tired to watch youtube
<_whitenotifier-e> [whitequark/Glasgow] whitequark pushed 2 commits to master [+0/-0/±3] https://git.io/fhnrR
<_whitenotifier-e> [whitequark/Glasgow] whitequark 4c3fd19 - applet.spi_flash_25c: fix progress with read/fast-read to stdout.
<_whitenotifier-e> [whitequark/Glasgow] smunaut e5cc0cf - applet.spi_master: fix writes to count register.
<_whitenotifier-e> [Glasgow] whitequark closed issue #76: spi-flash-25c erase-program command broken - https://git.io/fpBv7
<_whitenotifier-e> [Glasgow] Success. The Travis CI build passed - https://travis-ci.org/whitequark/Glasgow/builds/478967988?utm_source=github_status&utm_medium=notification
rohitksingh has quit [Ping timeout: 245 seconds]
<_whitenotifier-e> [whitequark/Glasgow] whitequark pushed 2 commits to master [+5/-0/±3] https://git.io/fhnri
<_whitenotifier-e> [whitequark/Glasgow] whitequark c739bd9 - software: determine own version through versioneer.
<_whitenotifier-e> [whitequark/Glasgow] whitequark 2902476 - cli: print version if -V/--version option is used.
<_whitenotifier-e> [Glasgow] Success. The Travis CI build passed - https://travis-ci.org/whitequark/Glasgow/builds/478973816?utm_source=github_status&utm_medium=notification
wpwrak has quit [Ping timeout: 250 seconds]
octycs has joined ##openfpga
<_whitenotifier-e> [whitequark/Glasgow] whitequark pushed 3 commits to master [+1/-0/±2] https://git.io/fhnrQ
<_whitenotifier-e> [whitequark/Glasgow] whitequark e193699 - Add CONTRIBUTING instructions.
<_whitenotifier-e> [whitequark/Glasgow] smunaut d15d5f8 - applet.spi_flash_25c: identify flashes without command 0x90 support.
<_whitenotifier-e> [whitequark/Glasgow] smunaut d429c35 - applet.spi_flash_25c: return subtarget in build() to allow extensions.
<_whitenotifier-e> [Glasgow] whitequark reviewed pull request #90 commit - https://git.io/fhnr7
<_whitenotifier-e> [Glasgow] whitequark commented on pull request #90: Add iCE40 boards flashing support - https://git.io/fhnrd
<_whitenotifier-e> [Glasgow] whitequark commented on pull request #90: Add iCE40 boards flashing support - https://git.io/fhnrF
<_whitenotifier-e> [Glasgow] Success. The Travis CI build passed - https://travis-ci.org/whitequark/Glasgow/builds/478978110?utm_source=github_status&utm_medium=notification
wpwrak has joined ##openfpga
<_whitenotifier-e> [whitequark/Glasgow] whitequark pushed 1 commit to master [+0/-0/±1] https://git.io/fhnoe
<_whitenotifier-e> [whitequark/Glasgow] whitequark ec6e3ca - access.direct.multiplexer: tristate unused pins.
<_whitenotifier-e> [Glasgow] whitequark closed issue #91: Unused pins are driven low - https://git.io/fhnov
<_whitenotifier-e> [Glasgow] Success. The Travis CI build passed - https://travis-ci.org/whitequark/Glasgow/builds/478981611?utm_source=github_status&utm_medium=notification
<_whitenotifier-e> [Glasgow] whitequark commented on issue #89: Use SB_GB_IO instead of SB_IO+SB_GB - https://git.io/fhnoT
soylentyellow_ has joined ##openfpga
soylentyellow__ has quit [Ping timeout: 258 seconds]
<_whitenotifier-e> [whitequark/Glasgow] whitequark deleted branch sb_gb_io
<_whitenotifier-e> [Glasgow] whitequark deleted branch sb_gb_io - https://git.io/fp4Wh
<_whitenotifier-e> [whitequark/Glasgow] whitequark pushed 1 commit to master [+0/-0/±2] https://git.io/fhno3
<_whitenotifier-e> [whitequark/Glasgow] whitequark 9f325b8 - gateware: use SB_GB_IO instead of SB_IO+SB_GB to reduce delay.
<_whitenotifier-e> [Glasgow] Success. The Travis CI build passed - https://travis-ci.org/whitequark/Glasgow/builds/478985117?utm_source=github_status&utm_medium=notification
<_whitenotifier-e> [Glasgow] whitequark commented on issue #89: Use SB_GB_IO instead of SB_IO+SB_GB - https://git.io/fhnoG
C_Elegans has joined ##openfpga
C_Elegans has quit [Ping timeout: 268 seconds]
octycs has quit [Ping timeout: 250 seconds]
octycs has joined ##openfpga
C_Elegans has joined ##openfpga
C_Elegans has quit [Ping timeout: 250 seconds]
rohitksingh has joined ##openfpga
ayjay_t has quit [Read error: Connection reset by peer]
ayjay_t has joined ##openfpga
ayjay_t has quit [Read error: Connection reset by peer]
ayjay_t has joined ##openfpga
rohitksingh has quit [Ping timeout: 245 seconds]
octycs has quit [Ping timeout: 250 seconds]
Miyu has joined ##openfpga
C_Elegans has joined ##openfpga
Bike has joined ##openfpga
Flea86 has quit [Quit: Goodbye and thanks for all the dirty sand ;-)]
octycs has joined ##openfpga
<kbeckmann> migen question: I'm using tristate signals using TSTriple which works great on hardware. But when I'm building my testbench i get "Could not lower all specials"... Not sure what that means and how to solve it. Any hint on what it means?
<whitequark> it means that the simulator does not have high-Z support
<whitequark> so, you avoid having any "triple.get_tristate" statements for simulation
<kbeckmann> I see, thanks!
rohitksingh has joined ##openfpga
emeb has joined ##openfpga
rohitksingh has quit [Ping timeout: 250 seconds]
rohitksingh has joined ##openfpga
rohitksingh has quit [Ping timeout: 268 seconds]
<_whitenotifier-e> [Glasgow] smunaut reviewed pull request #90 commit - https://git.io/fhnPW
<_whitenotifier-e> [Glasgow] smunaut commented on issue #89: Use SB_GB_IO instead of SB_IO+SB_GB - https://git.io/fhnPB
lawrie has joined ##openfpga
<_whitenotifier-e> [Glasgow] whitequark reviewed pull request #90 commit - https://git.io/fhnPE
lawrie has quit [Client Quit]
<adamgreig> marcan: i was wondering on glasgow rev c how the lvds signals are meant to work given the ice40's need(?) for external resistors as i understand it (i.e. lattice TN1253)
<whitequark> adamgreig: you are supposed to construct this network externally
<adamgreig> i.e. after the connector on glasgow?
<adamgreig> fair enough
<whitequark> since there is no single standard we can choose, nor there is an easy way to make it reconfigurable
<whitequark> and by easy i mean viable at all
<adamgreig> yes it's a pain huh
<adamgreig> thanks for fixing the read-only memory bug in nmigen btw, my 10/100 MAC is now fully functioning in nmigen
<whitequark> niiiice
<C_Elegans> adamgreig: what did you use as a testbench for your MAC?
<adamgreig> C_Elegans: i have just written a bunch of tests for it in nmigen
<adamgreig> generating rmii isn't too bad
<adamgreig> (also then plugged it into my lan :p)
<C_Elegans> Ah ok. Trying to get the PHY on the ECP5 versa to do *something*. The rmii I'm sending it looks right, it just doesn't do anything
<adamgreig> does the phy establish link?
<C_Elegans> yeah it looks like it goes through autonegotiation
<adamgreig> do you have an mdio interface to talk to the phy?
<C_Elegans> Not yet, it looked on the datasheet like it should work without it, I guess not though.
<adamgreig> most phys should -- my one i'm only reading to get link status, i don't actually need to set any control registers
<adamgreig> but at least it means i can read the status register to check it's got a link, what the link speed is, that sort of faff
<adamgreig> what phy is it?
<C_Elegans> Marvell 88e1512
<adamgreig> ah, gigabit
<adamgreig> idk how you tell it to use rmii 100mbps only, if that's what you're trying to do
<C_Elegans> From the datasheet, it seemed like all you needed to do was give it a lower clock speed.
<C_Elegans> Maybe I do need to configure the phy
<adamgreig> can it even do rmii?
<C_Elegans> rmii is 2 bits per direction right? On the low speeds it says it takes 4 bits per clock, but it doesnt transfer data on both edges. I think it also does the rgmii multiplexing of en and err on the control lines at low speeds
<adamgreig> as far as I can tell you still have to do RGMII yourself, just the RGMII clock is lower in 100Mbps mode
<adamgreig> but slow RGMII isn't the same as RMII
<C_Elegans> Ok, I see
<C_Elegans> thanks!
<C_Elegans> It works! Evidently you can't just slow the clock on this IC
<adamgreig> what are you doing now?
<_whitenotifier-e> [Glasgow] smunaut synchronize pull request #90: Add iCE40 boards flashing support - https://git.io/fhnM6
<_whitenotifier-e> [Glasgow] smunaut synchronize pull request #90: Add iCE40 boards flashing support - https://git.io/fhnM6
<_whitenotifier-e> [Glasgow] Success. The Travis CI build passed - https://travis-ci.org/whitequark/Glasgow/builds/479109037?utm_source=github_status&utm_medium=notification
<_whitenotifier-e> [Glasgow] Success. The Travis CI build passed - https://travis-ci.org/whitequark/Glasgow/builds/479109247?utm_source=github_status&utm_medium=notification
C_Elegans has quit [Ping timeout: 244 seconds]
edmund has joined ##openfpga
octycs has quit [Ping timeout: 268 seconds]
<tnt> whitequark: Oh, I think I understand why you're seeing increased latency. It's not only the change of rising edge to falling edge + internal re-register. This should have kept the latency the same. But there is also the change to using sb_gb_io. Previously the longer path from the clock pad to the actual IO register means you probably were capturing the data that the FX2 had outputted on that very same edge that triggered the capture ...
<SolraBizna> if I've got some black box logic, is there a best way to go from "here is an exhaustive list of which outputs happen for which valid inputs" and "here is the simplest logic for the black box"
<azonenberg> SolraBizna: exhaustive list of outputs basically just means bruteforcing the inputs
<azonenberg> a sat solver can use some tricks to find ONE set of inputs for an output, if possible
<tnt> SolraBizna: I use espresso for that
<whitequark> tnt: hm, I might be just wrong, period
<whitequark> let me check
<azonenberg> for simplest logic, that's logic minimization
<whitequark> again that is
<tnt> SolraBizna: https://pyeda.readthedocs.io/en/latest/2llm.html I feed a truth table in input (including possible 'don't care' as possible output). And it provides me expression to generate that. Then I give those expressions to yosys and it finds lut4 that do that.
<SolraBizna> where can I find espresso?
<whitequark> tnt: yeah, so
<whitequark> whatever the reason, if I do not add the register, selftest passes
<whitequark> if I add the register, selftest fails
<SolraBizna> I'm specifically trying to recreate the W65C02S's decimal subtract-with-carry logic
<tnt> whitequark: yeah I think it makes sense now that I think about it. And if you add the register but go back to sb_io + sb_gb, then self-test should pass too.
<whitequark> should fail?
<SolraBizna> is PyEDA going to freak out and produce something overly complicated because there's at least one adder in the mix?
<tnt> whitequark: wait no, sorry forget what I said.
<tnt> (just the sentence above, my earlier statement is correct :p)
<tnt> SolraBizna: huh ... yeah probably :/
<tnt> SolraBizna: technically the result will be correct but yosys won't re-extract carry chain logic from the result I think.
<SolraBizna> the target is C++, fortunately(?), not an HDL
<tnt> Ah ... well, it will work and find correct logic. Just maybe there would be an easier way using '+' instead of only & and | operators.
X-Scale has quit [Ping timeout: 245 seconds]
X-Scale` has joined ##openfpga
<tnt> whitequark: I'm reading the FX2 docs now ... but given that if you want proper rising edge io register for both input and output you would always have minimum 2 cycles of latency, then the current state with your latest commit might be the only way.
<SolraBizna> maybe I'll be able to extract the adder part by eye
<sorear> did anyone ever bother to document the decimal arithmetic, or are you testing a real 6502?
<SolraBizna> I've exhaustively tested a real W65C02S
<SolraBizna> Lots of people have documented the decimal arithmetic of various '02s, and none of them matches what I'm actually getting from this chip
<tnt> Wasn't the 6502 complete reversed at a transistor level ?
<SolraBizna> yes, but unfortunately, the W65C02 contains lots of fixes to BCD arithmetic
<SolraBizna> (by "exhaustively tested" I mean "I literally have a giant table of the output of every possible combination of input operands and carry flag")
<whitequark> tnt: hmmm
<sorear> SolraBizna: if you post the table somewhere someone might be bored enough to look
<sorear> there are only a couple ways that make sense, and the logic almost surely works on nibbles separately
C_Elegans has joined ##openfpga
<SolraBizna> turning it into something readable now
<C_Elegans> adamgreig: sorry, I disconnected without realizing. I put it into gigabit mode and it worked on the first try
<adamgreig> ah, nice :P
X-Scale` is now known as X-Scale
<C_Elegans> Nice to finally exit FPGA hell
<SolraBizna> making this an HTML table was a bad idea :D
<sorear> this person claims to have fully determined the logic used on the 6502, 65C02, and 65816 (all different)
<SolraBizna> ah... I used that to implement ADC, but stopped reading before I got to the SBC part (and assumed, back then, that `SBC x` was always the same as `ADC x` with all bits in x flipped)
<sorear> that wouldn't even be correct for the documented cases
<sorear> you'd have to generate a nines complement somehow
<SolraBizna> it was, indeed, incorrect nearly all the time in decimal mode
<sorear> say what you will about Intel but their current programming manual has pseudocode for AA* and DA* covering all possible cases
<_whitenotifier-e> [Glasgow] whitequark commented on issue #81: Verify jtag-mips functionality after 1f6a5041 - https://git.io/fhnyB
<_whitenotifier-e> [Glasgow] whitequark closed issue #81: Verify jtag-mips functionality after 1f6a5041 - https://git.io/fpEvj
<SolraBizna> my emulator is now 100% accurate... thanks!
pie__ has quit [Ping timeout: 250 seconds]
pie_ has joined ##openfpga
m_w_ has joined ##openfpga
m_w_ has quit [Client Quit]