sb0 changed the topic of #m-labs to: ARTIQ, Migen, MiSoC, Mixxeo & other M-Labs projects :: fka #milkymist :: Logs http://irclog.whitequark.org/m-labs
balrog has quit [Ping timeout: 240 seconds]
balrog has joined #m-labs
sb0 has joined #m-labs
sb0 has quit [Quit: Leaving]
<GitHub98> [smoltcp] pothos commented on issue #224: Hi,... https://github.com/m-labs/smoltcp/issues/224#issuecomment-396463955
<GitHub143> [smoltcp] barskern commented on issue #224: Could you upload debug logs again? https://github.com/m-labs/smoltcp/issues/224#issuecomment-396465575
<GitHub63> [smoltcp] pothos opened pull request #233: Fix fast retransmit (master...fix-fast-retransmit) https://github.com/m-labs/smoltcp/pull/233
<GitHub43> [smoltcp] pothos commented on issue #224: I've found the issues and fixed it but did not write a test yet: https://github.com/m-labs/smoltcp/pull/233 https://github.com/m-labs/smoltcp/issues/224#issuecomment-396479966
<rjo> bb-m-labs: force build --props=package=artiq-board,artiq_target=kasli,artiq_variant=opticlock artiq-board
<bb-m-labs> build forced [ETA 41m46s]
<bb-m-labs> I'll give a shout when the build finishes
<GitHub134> [smoltcp] pothos commented on issue #233: I've updated and expanded the tests. https://github.com/m-labs/smoltcp/pull/233#issuecomment-396502317
<GitHub-m-labs> [artiq] hartytp commented on issue #1065: Here is my thinking on this:... https://github.com/m-labs/artiq/issues/1065#issuecomment-396503850
<GitHub-m-labs> [artiq] hartytp commented on issue #1066: Joe, looking at this again, the eye scans during your mem test look really quite bad. ... https://github.com/m-labs/artiq/issues/1066#issuecomment-396504691
<GitHub-m-labs> [artiq] sbourdeauducq closed pull request #1069: Sayma: disable unused HMC7043 outputs (master...hmc7043_outputs) https://github.com/m-labs/artiq/pull/1069
<bb-m-labs> build #1638 of artiq-board is complete: Failure [failed conda_build] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1638
<GitHub-m-labs> [artiq] jordens pushed 3 new commits to master: https://github.com/m-labs/artiq/compare/cb6e44b23a85...a9d97101fc3b
<GitHub-m-labs> artiq/master a9d9710 Robert Jördens: slave_fpga: add another check
<GitHub-m-labs> artiq/master a143e23 Robert Jördens: savel_fpga: get rid of unneeded config
<GitHub-m-labs> artiq/master 4912f53 Robert Jördens: slave_fpga: board_misoc
<GitHub-m-labs> [artiq] jordens commented on pull request #1068 ddb4752: Perfect. I just wanted to avoid having to calculate the frequencies. https://github.com/m-labs/artiq/pull/1068#discussion_r194655387
<GitHub-m-labs> [artiq] jordens commented on issue #1068: I'll check this on sayma-2 in HK. https://github.com/m-labs/artiq/pull/1068#issuecomment-396511018
<GitHub-m-labs> [artiq] hartytp commented on issue #1064: Okay, this was some conda issue...... https://github.com/m-labs/artiq/issues/1064#issuecomment-396515601
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
<GitHub-m-labs> [artiq] hartytp commented on pull request #1068 2db8280: done https://github.com/m-labs/artiq/pull/1068#discussion_r194664262
<GitHub-m-labs> [artiq] hartytp commented on issue #1068: FWIW, I still don't like this https://github.com/hartytp/artiq/blob/2db82806235a2cebe0d1b2650df1c64a48cf61a1/artiq/firmware/libboard_artiq/hmc830_7043.rs#L309-L324 as it goes back to hard-coding divider values. So, if you change `SYSREF_DIV` that won't actually change the HMC7043 sysref output frequencies. I'll fix that in a commit later on. Plan would probably be to ad
<GitHub-m-labs> [artiq] jordens commented on issue #1062: And `sayma_amc` fails because the rtm csr csv obviously doesn't exist.... https://github.com/m-labs/artiq/issues/1062#issuecomment-396520093
<GitHub-m-labs> [artiq] jordens commented on issue #1068: Yes. But please don't go overboard with the generalization and interdependency of that code. Abstracting the over the register map and feature sets of those chips won't work. https://github.com/m-labs/artiq/pull/1068#issuecomment-396520981
<GitHub-m-labs> [artiq] hartytp commented on issue #1068: > Yes. But please don't go overboard with the generalization and interdependency of that code. Abstracting the over the register map and feature sets of those chips won't work.... https://github.com/m-labs/artiq/pull/1068#issuecomment-396521966
FabM has quit [Ping timeout: 240 seconds]
FabM has joined #m-labs
kuldeep has quit [Ping timeout: 256 seconds]
kuldeep has joined #m-labs
<GitHub-m-labs> [artiq] jordens opened issue #1070: sayma: generate rtm csr map on the fly when building amc https://github.com/m-labs/artiq/issues/1070
kuldeep has quit [Ping timeout: 240 seconds]
kuldeep has joined #m-labs
<GitHub-m-labs> [artiq] jordens commented on issue #1068: This is your branched merge into master (**with** cb6e44b23a85428710af205a6f1c155eda7b2443)... https://github.com/m-labs/artiq/pull/1068#issuecomment-396542824
<GitHub-m-labs> [artiq] hartytp commented on issue #1068: Is that reproducible over several loads/power cycles? https://github.com/m-labs/artiq/pull/1068#issuecomment-396543607
<GitHub-m-labs> [artiq] hartytp commented on issue #1068: > That's the first memory test failure I have seen in a long time.... https://github.com/m-labs/artiq/pull/1068#issuecomment-396544115
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #1070: @whitequark wanted instead to make the rtm package a build dependency of the amc package. https://github.com/m-labs/artiq/issues/1070#issuecomment-396544861
<GitHub-m-labs> [artiq] jordens commented on issue #1068: I've seen it 3/10 with that firmware. Each time accompanied with a shift of the window.... https://github.com/m-labs/artiq/pull/1068#issuecomment-396551546
<GitHub-m-labs> [artiq] jordens commented on issue #1068: I've seen it 3/10 with that firmware. Each time accompanied with a shift of the window.... https://github.com/m-labs/artiq/pull/1068#issuecomment-396551546
<GitHub-m-labs> [artiq] jordens commented on issue #1068: I've seen it 3/10 with that firmware. Each time accompanied with a shift of the window.... https://github.com/m-labs/artiq/pull/1068#issuecomment-396551546
<bb-m-labs> build #1639 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1639
<GitHub-m-labs> [artiq] jordens commented on issue #1068: I'm fine with merging this if you either revert the "...done"/"...locked" change or also change the one on the hmc7043 "...done". And please fix the conflict. https://github.com/m-labs/artiq/pull/1068#issuecomment-396553491
<bb-m-labs> build #2440 of artiq is complete: Failure [failed python_unittest_2] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2440 blamelist: hartytp <thomas.harty@physics.ox.ac.uk>
<GitHub-m-labs> [artiq] hartytp commented on issue #1068: @jordens / @sbourdeauducq can you repeat that without the RTM connected? That will tell us if this is related to any RTM-based noise source.... https://github.com/m-labs/artiq/pull/1068#issuecomment-396556532
<GitHub-m-labs> [artiq] hartytp pushed 1 new commit to master: https://github.com/m-labs/artiq/commit/7a0140ecb291df209fc49170d9374b57eb8adbdd
<GitHub-m-labs> artiq/master 7a0140e hartytp: Sayma HMC830: update interface and register writes. (#1068)...
kuldeep has quit [Ping timeout: 276 seconds]
hartytp has joined #m-labs
<hartytp> looking again at the HMC7043 data sheet while investigating noise on Sayma, it occurs to me that we should not be using the output dividers when f_out = f_in
<hartytp> (which is how we should be using it in the long run)
<hartytp> as bypassing the dividers reduces noise and power consumption
<hartytp> what do you think
<hartytp> only nasty thing is that it means that the output skew changes between div=1 and div=2 due to the mux changing...
<GitHub-m-labs> [artiq] hartytp pushed 1 new commit to master: https://github.com/m-labs/artiq/commit/28ecf81c6ce7332ef4cdd0a99505fa27c4c71598
<GitHub-m-labs> artiq/master 28ecf81 ion: Sayma: HMC7043 init and detect no longer need results.
<GitHub-m-labs> [artiq] jordens commented on issue #1068: Thanks. Squashing was the right thing to do. https://github.com/m-labs/artiq/pull/1068#issuecomment-396568749
<GitHub-m-labs> [artiq] hartytp commented on issue #1065: hmmm...it seems there is definitely a memory corruption issue that's not caused by the HMC7043 https://github.com/m-labs/artiq/pull/1068#issuecomment-396542824 https://github.com/m-labs/artiq/issues/1065#issuecomment-396569152
<bb-m-labs> build #1640 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1640
kuldeep has joined #m-labs
<bb-m-labs> build #2441 of artiq is complete: Failure [failed python_unittest_2] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2441 blamelist: Robert J?rdens <rj@quartiq.de>
<GitHub17> [smoltcp] dlrobertson commented on issue #83: I agree with @whitequark, this sounds great!... https://github.com/m-labs/smoltcp/issues/83#issuecomment-396578467
<bb-m-labs> build #1641 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1641
<bb-m-labs> build #2442 of artiq is complete: Failure [failed python_unittest_2] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2442 blamelist: hartytp <thomas.harty@physics.ox.ac.uk>, ion <thomas.harty@physics.ox.ac.uk>
<_florent_> hartytp: i'm fine with your divider change on hmc7043
<GitHub-m-labs> [artiq] jbqubit commented on issue #1069: Good idea @hartytp https://github.com/m-labs/artiq/pull/1069#issuecomment-396604866
<GitHub-m-labs> [artiq] jbqubit commented on issue #1066: Following is typical.... https://github.com/m-labs/artiq/issues/1066#issuecomment-396607419
<GitHub-m-labs> [artiq] hartytp commented on issue #1066: Is that with RTM? Any idea what caused the bad eyes then? Did you change gateware between good and bad mem tests? https://github.com/m-labs/artiq/issues/1066#issuecomment-396607888
<GitHub-m-labs> [artiq] hartytp pushed 2 new commits to master: https://github.com/m-labs/artiq/compare/28ecf81c6ce7...b90a8fcc8289
<GitHub-m-labs> artiq/master b90a8fc Thomas Harty: Merge branch 'master' of https://github.com/m-labs/artiq
<GitHub-m-labs> artiq/master c8935f7 ion: Sayma: bypass dividers where possible to minimize noise (nb this changes the output skew).
<GitHub1> [smoltcp] barskern commented on issue #233: I think the calulation youre thinking about regaring `seq_to_transmit` is located [here](https://github.com/pothos/smoltcp/blob/277e7a3ccc7f18d9f220d5476ebee547c3a9117b/src/socket/tcp.rs#L955-L976).... https://github.com/m-labs/smoltcp/pull/233#issuecomment-396616131
<GitHub47> [smoltcp] barskern commented on issue #233: I think the calulation youre thinking about regarding `seq_to_transmit` is located [here](https://github.com/pothos/smoltcp/blob/277e7a3ccc7f18d9f220d5476ebee547c3a9117b/src/socket/tcp.rs#L955-L976).... https://github.com/m-labs/smoltcp/pull/233#issuecomment-396616131
<rjo> hartytp: please be a bit more careful with the commit history. don't just commit stuff blindly. those reverse merge commits are unreadable and annoying.
<rjo> hartytp: maybe it is better if you do PRs so we can talk about them before that lands in master.
hartytp_ has joined #m-labs
<hartytp_> rjo: yes, apologies, that was bad, I realized after committing
<hartytp_> I'm fine with that
<GitHub-m-labs> [artiq] jbqubit opened issue #1071: Sayma SAWG: startup kernel, frequency0 in loop, amplitude modulation https://github.com/m-labs/artiq/issues/1071
<rjo> hartytp_: ok. let's do PRs.
<hartytp_> fwiw, normally that's what I would have done, but that one was trivial and posted on IRC first. but, yes, I'll create a PR first in future
<rjo> it turned out not to be trivial.
<GitHub-m-labs> [artiq] jbqubit commented on issue #1071: I've seen this same behavior loading four times. https://github.com/m-labs/artiq/issues/1071#issuecomment-396617615
<rjo> i had assumed that you had squash-committed that as part of the previous PR
<hartytp_> yes, poor hygiene
<hartytp_> will be more careful in future
<hartytp_> different note: how is RTM FPGA loading coming on?
<hartytp_> i would like to pass Sayma to Weida for more careful noise measurements
<hartytp_> and ideally would like not to have to explain how to load the RTM FPGA to him
<GitHub-m-labs> [artiq] jbqubit commented on issue #1066: The board spontaneously rebooted after ```@ 0x40023e78```. That's when the bad mem test appeared. https://github.com/m-labs/artiq/issues/1066#issuecomment-396619922
<rjo> hartytp_: waiting for someone with access to a sayma to debug it. https://github.com/m-labs/artiq/issues/813
<GitHub129> [smoltcp] barskern commented on issue #233: I think the calulation youre thinking about regarding `seq_to_transmit` is located [here](https://github.com/pothos/smoltcp/blob/277e7a3ccc7f18d9f220d5476ebee547c3a9117b/src/socket/tcp.rs#L955-L976).... https://github.com/m-labs/smoltcp/pull/233#issuecomment-396616131
<hartytp_> rjo: what do you need done?
<rjo> carefully check https://github.com/m-labs/artiq/issues/813#issuecomment-369336760 , comment out https://github.com/m-labs/artiq/blob/b90a8fcc828974c47c306bea81c361671af834a7/artiq/gateware/targets/sayma_amc.py#L197, build it (incl gateware), flash it, report, if it doesn't work: capture a trace of of DIN, CCLK, INIT_B at the start (first ~500 cclk pulses) as close to the rtm fpga as possible and check for
<rjo> noise interference.
<GitHub72> [smoltcp] barskern commented on issue #233: I think some of the calulation youre thinking about regarding `seq_to_transmit` is located [here](https://github.com/pothos/smoltcp/blob/277e7a3ccc7f18d9f220d5476ebee547c3a9117b/src/socket/tcp.rs#L955-L976).... https://github.com/m-labs/smoltcp/pull/233#issuecomment-396616131
<hartytp> have you tried that on your Sayma?
<rjo> hartytp: up to the "capture" part dozens of times.
<hartytp> okay, so the expectation is that it probably won't work
<hartytp> and you want the scope trace
<rjo> my money is on: 30% the board stuffing/rework (in the sayma-2-hk case the CCLK and DIN resistors), 30% noise, and 40% something else. the scope trace has high likelyhood of helping with all those hypotheses.
<hartytp> fine
<hartytp> I should be able to do that tomorrow
<rjo> from what i can see remotely, the rtm fpga does not see the sync sequence 0xaa996655 (or sth like that) which is at the start of the bitstream.
<hartytp> you want "R176-R180 DNP, R200-R204 0R."
<rjo> yes.
<rjo> yes. i want r122, r116 such that m[2:0] == 0b111 (they are on sayma-2-hk) and R176-R180 DNP, R200-R204 0R (at least prog, done, init are correct on sayma-2-hk).
<hartytp> did greg give you a diagram showing R176-180 and R200-204?
<hartytp> okay, thx
<hartytp> is this the right way of producing a sine at 210MHz?
<rjo> dunno where the other cclk resistor is though.
<rjo> but refer to the photos on what the as-built config looks like.
<hartytp> ack. well, I'll ask greg if I have any more questions
<GitHub-m-labs> [artiq] jbqubit commented on issue #1067: - 4.0.dev+1133.g0b086225... https://github.com/m-labs/artiq/issues/1067#issuecomment-396628576
<rjo> well. the photo is already modified in a random way.
<5EXAA5YOD> [artiq] jbqubit commented on issue #1067: Edited previous post to strike ~~Ethernet works fine on this board interactively when just working with frequency 0~~ https://github.com/m-labs/artiq/issues/1067#issuecomment-396629191
<32NABTHRP> [artiq] jbqubit commented on issue #1067: - 4.0.dev+1133.g0b086225... https://github.com/m-labs/artiq/issues/1067#issuecomment-396628576
<rjo> hartytp: thanks.
<hartytp> anyway, on the plus side, it's refreshing to see sawg working really well from an analog perspective (module the dying synth I happened to pick out of the pile)
<hartytp> oops, "sayma" not "sawg" testing that next :)
<rjo> ack. looking forward to the noise spectrum there.
<bb-m-labs> build #1642 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1642
<hartytp> rjo: final question about slave loading
<hartytp> you pointed me to R176-R180
<hartytp> that answers that
<GitHub-m-labs> [artiq] jbqubit commented on issue #1066: Otherwise this board has uniformly had nice memtest results for the last two weeks. https://github.com/m-labs/artiq/issues/1066#issuecomment-396632589
<rjo> as i said, i have no idea where r176 is though.
<rjo> but see greg's next comment.
<rjo> and mine above that one.
<GitHub-m-labs> [artiq] jbqubit commented on issue #1067: On this same board I can repeatedly run experiments that don't involve SAWG interactively over Ethernet. ... https://github.com/m-labs/artiq/issues/1067#issuecomment-396633471
<bb-m-labs> build #2443 of artiq is complete: Failure [failed python_unittest_2] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2443 blamelist: Thomas Harty <thomas.harty@physics.ox.ac.uk>, ion <thomas.harty@physics.ox.ac.uk>
<hartytp> silly question, but have you double checked the population options currently on your board? This https://github.com/m-labs/artiq/issues/813#issuecomment-393816722 is quite ambiguous
<hartytp> anyway, I'll have a look myself in the morning
hartytp_ has quit [Quit: Page closed]
<rjo> sb0 checked it. i haven't seen a sayma with my own eyes since last august.
hartytp has quit [Quit: Page closed]
<GitHub-m-labs> [artiq] jordens commented on issue #813: This should work now. Needs:... https://github.com/m-labs/artiq/issues/813#issuecomment-369336760
<GitHub-m-labs> [artiq] jordens commented on issue #813: This should work now. Needs:... https://github.com/m-labs/artiq/issues/813#issuecomment-369336760
sb0 has joined #m-labs
<sb0> rjo, hartytp, need to recheck the population on the board currently in the lab
<sb0> rjo, do I understand it right, that the BIOS is crashing and the SDRAM test fails after merging Tom's pull request that doesn't touch the BIOS or the SDRAM?
<rjo> no indication that this is related to that change.
<sb0> pristine master@a9d97101fc isn't crashing
<rjo> crashed in 1/10 cases when i tried it. see the issue.
<sb0> and the sdram scans look clean
<sb0> did the sdram scan also look intermittently bad on some reboots?
<rjo> sb0: sure.
<sb0> so far the worst scan I get is 00101010101111111111111111111111111111111111111 ...
<sb0> and no crash yet
<sb0> that's 11 reboots
<sb0> jesd sc1 also looks good
<sb0> by "reboot" I mean reloading the bitstream. did you power-cycle instead?
<rjo> artiq_flash load
<sb0> ok, same
<sb0> er
<sb0> no
<sb0> i use artiq_flash start
<sb0> and I keep the same rtm bitstream loaded
felix[m] has quit [Remote host closed the connection]
marbler has quit [Read error: Connection reset by peer]
jfng has quit [Remote host closed the connection]
<rjo> i don't think openocd checks whether the bitstream load works. i.e. the rtm could be undefined and the hmc7043 going crazy for those cases.
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #794: HK board with pristine master at a9d97101fc. Board rebooted by artiq_flash start while keeping the RTM bitstream loaded. Here are the phases at 9MHz:... https://github.com/m-labs/artiq/issues/794#issuecomment-396647669
<sb0> 30 reboots, still no crash
<GitHub-m-labs> [artiq] sbourdeauducq commented on commit c8935f7: So, the sysref phase we are using needs changing? https://github.com/m-labs/artiq/commit/c8935f7adfac19f2c4cd622f6e156db1f56a5a84#commitcomment-29336229
<sb0> hmm I can't crash it either with artiq_flash load ...
<rjo> do you still need the board?
felix[m] has joined #m-labs
<sb0> no
<sb0> if you want to compare with yours, the apparently non-crashing firmware is in /home/sb/artiq_drtio
<sb0> and in the flash
sb0 has quit [Quit: Leaving]
<rjo> i can reproduce this with my gateware build. in ~rj/src/artiq
<rjo> and it is 2/24 again. each time the write level taps are at half their usual value.
<rjo> and the rtm has loaded fine each time.
<GitHub-m-labs> artiq/master 2de5b0c Robert Jördens: artiq_flash/sayma: check for DONE after load
<GitHub-m-labs> [artiq] jordens pushed 1 new commit to master: https://github.com/m-labs/artiq/commit/2de5b0cf2510ec97b76cce4e33a5ca4c5ac877b1
<rjo> bb-m-labs: force build --props=package=openocd conda-all
<bb-m-labs> build #125 forced
<bb-m-labs> I'll give a shout when the build finishes
<GitHub> [conda-recipes] jordens pushed 1 new commit to master: https://github.com/m-labs/conda-recipes/commit/d1fd2825be586d9bb0d07e5b986e6d086a6d7df2
<GitHub> conda-recipes/master d1fd282 Robert Jördens: openocd: bump (xilinx-stat)
<bb-m-labs> build #254 of conda-win64 is complete: Exception [exception conda_build_output] Build details are at http://buildbot.m-labs.hk/builders/conda-win64/builds/254
<GitHub-m-labs> [artiq] jordens pushed 1 new commit to master: https://github.com/m-labs/artiq/commit/aff7fa008f8752cf192f8be79fb01acc162c3c1c
<GitHub-m-labs> artiq/master aff7fa0 Robert Jördens: Revert "artiq_flash/sayma: check for DONE after load"...
<GitHub-m-labs> [artiq] jordens created new-openocd (+1 new commit): https://github.com/m-labs/artiq/commit/04290aeef2dc
<GitHub-m-labs> artiq/new-openocd 04290ae Robert Jördens: conda: bump openocd (xilinx-stat)
<bb-m-labs> build #2444 of artiq is complete: Failure [failed python_unittest_1] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2444 blamelist: Robert J?rdens <rj@quartiq.de>
<bb-m-labs> build #410 of conda-lin64 is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/conda-lin64/builds/410
<bb-m-labs> build #125 of conda-all is complete: Failure [failed] Build details are at http://buildbot.m-labs.hk/builders/conda-all/builds/125
mumptai has joined #m-labs
<GitHub-m-labs> [artiq] hartytp commented on commit c8935f7: Nope this has no effect since all dividers are >1 atm https://github.com/m-labs/artiq/commit/c8935f7adfac19f2c4cd622f6e156db1f56a5a84#commitcomment-29336961
<rjo> without reloading the rtm gateware this happens 0/40
<GitHub-m-labs> [artiq] jordens commented on issue #813: I think this won't work because FPGA_CFG_DIN (on RTM) is not connected to IO_L1N_T0_D01_DIN_14 (L17) but to IO_L1P_T0_D00_MOSI_14 (K16). That's close but not close enough.... https://github.com/m-labs/artiq/issues/813#issuecomment-396677246
<GitHub-m-labs> [migen] jordens pushed 1 new commit to master: https://github.com/m-labs/migen/commit/5947224cc65e37ca62137bba97c1adcd0cd5ca37
<GitHub-m-labs> migen/master 5947224 Robert Jördens: sayma_rtm: add ref_lo_clk_sel
<rjo> even 0/60
<bb-m-labs> build #1643 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1643
<GitHub-m-labs> [artiq] jordens commented on issue #813: I think this won't work because FPGA_CFG_DIN (on RTM) is not connected to IO_L1N_T0_D01_DIN_14 (L17) but to IO_L1P_T0_D00_MOSI_14 (K16). That's close but not close enough.... https://github.com/m-labs/artiq/issues/813#issuecomment-396677246
<GitHub-m-labs> [artiq] jordens pushed 1 new commit to master: https://github.com/m-labs/artiq/commit/a9a25f26054906d7ce03b7dff900cb7ad6384ffd
<GitHub-m-labs> artiq/master a9a25f2 Robert Jördens: sayma_rtm: drive ref_lo_clk_sel, and set clk muxes early
<GitHub-m-labs> [artiq] jordens commented on issue #813: I think this won't work because FPGA_CFG_DIN (on RTM) is not connected to IO_L1N_T0_D01_DIN_14 (L17) but to IO_L1P_T0_D00_MOSI_14 (K16). That's close but not close enough.... https://github.com/m-labs/artiq/issues/813#issuecomment-396677246
<bb-m-labs> build #2445 of artiq is complete: Failure [failed python_unittest_2] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2445 blamelist: Robert J?rdens <rj@m-labs.hk>
<bb-m-labs> build #2446 of artiq is complete: Failure [failed conda_build] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2446 blamelist: Robert J?rdens <rj@m-labs.hk>
<bb-m-labs> build #280 of migen is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/migen/builds/280
<bb-m-labs> build #2447 of artiq is complete: Failure [failed conda_build] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2447 blamelist: Robert J?rdens <rj@m-labs.hk>
<GitHub-m-labs> [artiq] jordens pushed 1 new commit to master: https://github.com/m-labs/artiq/commit/f8627952c83ba2b6f7ddf54414fe5b7ea41544c9
<GitHub-m-labs> artiq/master f862795 Robert Jördens: conda: fix migen build string
<GitHub-m-labs> [artiq] trxw commented on issue #1071: For one thing, the amplitude needs to be set between 0-1 and not 100MHz... https://github.com/m-labs/artiq/issues/1071#issuecomment-396696079
<GitHub-m-labs> [artiq] trxw commented on issue #1071: For one thing, the amplitude needs to be set between 0-1 and not 100MHz... https://github.com/m-labs/artiq/issues/1071#issuecomment-396696079
<GitHub-m-labs> [artiq] trxw commented on issue #1071: For one thing, the amplitude needs to be set between 0-1 and not 100MHz... https://github.com/m-labs/artiq/issues/1071#issuecomment-396696079
<GitHub-m-labs> [artiq] hartytp commented on issue #813: @jordens good catch.... https://github.com/m-labs/artiq/issues/813#issuecomment-396699806
hartytp has joined #m-labs
<hartytp> rjo: good catch! any idea how the RTM FPGA is upsetting the AMC
<hartytp> with the pull up I added the HMC7043 is silent during flashing/boot
<hartytp> on my board at least, so I don't think it's that
<GitHub22> [smoltcp] squidarth opened pull request #234: Add GC & threshold to the ARP cache. (master...ss-83-arp-cache-eviction) https://github.com/m-labs/smoltcp/pull/234
<GitHub-m-labs> [artiq] jbqubit commented on issue #1071: Ah right. With ```sawg.amplitude1.set(0.5)``` this works as startup kernel as in the past. Glad this is a typo and not a regression. :) https://github.com/m-labs/artiq/issues/1071#issuecomment-396702244
hartytp has quit [Ping timeout: 260 seconds]
<GitHub-m-labs> [artiq] trxw commented on issue #1071: @jbqubit Shouldn't there be a bound checking? https://github.com/m-labs/artiq/issues/1071#issuecomment-396704352
<GitHub-m-labs> [artiq] trxw commented on issue #1071: @sbourdeauducq Shouldn't there be a bound checking for amplitude? https://github.com/m-labs/artiq/issues/1071#issuecomment-396704352
jbqubit has joined #m-labs
<bb-m-labs> build #1644 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1644
<bb-m-labs> build #2448 of artiq is complete: Failure [failed python_unittest_2] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2448 blamelist: Robert J?rdens <rj@m-labs.hk>
<GitHub-m-labs> [artiq] trxw commented on issue #1040: @jbqubit Is this issue resolved with the board at UMD? https://github.com/m-labs/artiq/issues/1040#issuecomment-396710986
felix[m] has quit [Remote host closed the connection]
<rjo> hartytp: maybe one of the other half dozen clock fanouts. they are probably all skittish.
<rjo> sb0: i'll run 100 tests. kill my flterm when my flock has expired and you want to use the board
felix[m] has joined #m-labs
jbqubit has quit [Ping timeout: 260 seconds]
<GitHub-m-labs> [artiq] gkasprow commented on issue #813: I wouln't connect MOSI line with DIN because I'm not sure if it is high-Z during config.... https://github.com/m-labs/artiq/issues/813#issuecomment-396749798
<GitHub-m-labs> [artiq] gkasprow commented on issue #813: R112 is here... https://github.com/m-labs/artiq/issues/813#issuecomment-396750801
mumptai has quit [Remote host closed the connection]
felix[m] has quit [Remote host closed the connection]
felix[m] has joined #m-labs