<jophish>
I've got a blink.vhdl example, and I'd like to be sure that the LED will be both on and off. I've specified this as PSL, however I can't seem to get this to work with symbiyosys (for example when I make the design break, it still reports everything is A-OK
<jophish>
here I'm asserting that a const `0` is covered :)
<jophish>
I'm sure that it's because of this funky reset
<gatecat>
Yosys doesn't support PSL comments in SystemVerilog
<gatecat>
`always @(posedge clk_i) cover(s_0);`, outside of a comment, should work, though
<jophish>
ah, these are SVA comments I believe
<gatecat>
right, SVA comments aren't supported either
<gatecat>
only a subset of SVA, not in comments, are supported by Yosys (at least the OSS version)
<gatecat>
Tabby CAD (Yosys with a Verific based frontend) supports a much bigger range of SVA features, but I still believe it requires the SVA to be in the body of the code and not in comments
<jophish>
ah, gotcha, indeed it works when they're not in comments
<jophish>
Is there a name for the commented mode and non commented mode so I can properly make a feature request for clash?
<jophish>
Also, is there an example anywhere of SVA being used with Yosys
<jophish>
I seem to be getting a syntax error trying to use a property statement
<jophish>
actually to avoid an X-Y problem, is there a way to name properties so that instead of `Unreached cover statement at blink.sv:43.26-43.36.` I can get some pretty name?
<gatecat>
11:10 AM <jophish> Is there a name for the commented mode and non commented mode so I can properly make a feature request for clash?
<gatecat>
I've only ever seen the commented mode used for PSL before
<gatecat>
I didn't even know it was an option for SVA until now...
<jophish>
well, I think I've about implemented Yosys compatible covers and assertions for clash :D
danvet has joined #yosys
vidbina has joined #yosys
<jophish>
hmm, `nextpnr-ecp5` errors out when given code with a `cover` directive in: `ERROR: cell type '$cover' is unsupported (instantiated as 'isOff')`
<jophish>
Do I need to wrap these in `ifdef formal` or something?
<jophish>
seems as though they could (in theory) provide some useful information to the compiler...