sb0 changed the topic of #m-labs to: ARTIQ, Migen, MiSoC, Mixxeo & other M-Labs projects :: fka #milkymist :: Logs http://irclog.whitequark.org/m-labs
balrog has joined #m-labs
sb0 has joined #m-labs
sb0 has quit [Ping timeout: 260 seconds]
sb0 has joined #m-labs
rohitksingh_work has joined #m-labs
<rjo> sb0: there is a note on that page about it having been wrong
<sb0> rjo, yes. it matches neither the new version nor the old one I found on archive.org
<rjo> i vaguely remember that i got it from the specification. maybe it's transposed already. if the sequence is wrong it should be corrected.
<sb0> ok, yes it matches the specification
<sb0> now if you assume X0 is 0-6 X1 is 7-13 X2 is 14-20 X3 is 21-27
<sb0> then you should have all L/F/D/spare on X3, with the bits given by the specification
<sb0> but http://www.volkerschatz.com/hardware/clink.html has them split on X2/X3. what gives?
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #1001: @enjoy-digital What's the status of this? https://github.com/m-labs/artiq/issues/1001#issuecomment-401695090
<GitHub-m-labs> [artiq] sbourdeauducq closed issue #794: Clocking, DAC support and JESD synchronization on one Sayma card https://github.com/m-labs/artiq/issues/794
<rjo> sb0: no idea.
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #1081: Here is a minimized repro:... https://github.com/m-labs/artiq/issues/1081#issuecomment-401698953
<bb-m-labs> build #1688 of artiq-board is complete: Failure [failed conda_build] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1688
<GitHub-m-labs> [artiq] enjoy-digital commented on issue #1001: I still need to look at that. But this only used for the high-speed phy and we are currently using low-spee phy. https://github.com/m-labs/artiq/issues/1001#issuecomment-401703313
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #1081: This is not a bug, the core is behaving as expected.... https://github.com/m-labs/artiq/issues/1081#issuecomment-401703604
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #1081: This is not a bug, the core is behaving as expected.... https://github.com/m-labs/artiq/issues/1081#issuecomment-401703604
<GitHub-m-labs> [artiq] sbourdeauducq closed issue #1081: RTIO sequence errors when setting multiple outputs and collecting inputs https://github.com/m-labs/artiq/issues/1081
<GitHub-m-labs> [artiq] hartytp commented on issue #794: @sbourdeauducq What's the plan for testing this at the full 2.4GHz DAC clock? It seems worth checking that works reliably before we move onto Sayma v2.0 in case there are any changes we decide to make to the hw. https://github.com/m-labs/artiq/issues/794#issuecomment-401706657
<GitHub-m-labs> [artiq] hartytp commented on issue #1001: @enjoy-digital remind me though, the plan is to move back to the high-speed phy for hw v2.0, right?... https://github.com/m-labs/artiq/issues/1001#issuecomment-401707067
<GitHub-m-labs> [artiq] jordens commented on issue #1081: A possible extension to SED would be to also have a sorting network at the cpu side that would make smarter lane choices instead of just advancing, to achieve better packing. I.e. it could choose the lane that has the largest timestamp queued that still meets strict monotonicity. https://github.com/m-labs/artiq/issues/1081#issuecomment-401707659
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #1081: That would increase RTIO latency further. https://github.com/m-labs/artiq/issues/1081#issuecomment-401707929
<GitHub-m-labs> [artiq] sbourdeauducq closed issue #1084: Docs: "Positive Slack" not defined in RTIO.rst https://github.com/m-labs/artiq/issues/1084
<GitHub-m-labs> [artiq] jordens commented on issue #1081: The submission-to-output latency maybe. Does that matter? But AFAICT the user-visible RTIO latency (from trigger to output or the `break_realtime()` value) is dominated by RTIO API and CPU, not by the gateware. https://github.com/m-labs/artiq/issues/1081#issuecomment-401710307
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #1081: But we want to optimize the former, don't we? https://github.com/m-labs/artiq/issues/1081#issuecomment-401710733
<GitHub-m-labs> [artiq] enjoy-digital commented on issue #1001: @hartytp: i'm not the one deciding, but if we can use LVDS on v2.0, yes we should use high-speed phy. https://github.com/m-labs/artiq/issues/1001#issuecomment-401711097
<GitHub-m-labs> [artiq] hartytp commented on issue #1001: okay. Well, @sbourdeauducq let me know what you want to do about this then. https://github.com/m-labs/artiq/issues/1001#issuecomment-401711774
<rjo> sb0: there is also the wikipedia figure. and iirc basler had some reasonably good info on it.
<sb0> the wikipedia figure is copied from the website I linked
<rjo> but it's older.
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #1001: @enjoy-digital How does the low-speed PHY meet timing? Assume that with low bit rates and fast flip-flops, the chance of not meeting setup/hold is small? https://github.com/m-labs/artiq/issues/1001#issuecomment-401714900
<sb0> the "fixed" version appeared more or less at the same time, I suspect Vswitchs is also the author of the website
<GitHub-m-labs> [artiq] jordens commented on issue #1081: The gateware latency? As long as it's orders of magnitude less than the RTIO API and CPU latency then I'd happily get better lane utilization at the expense of a couple cycles of gateware latency. https://github.com/m-labs/artiq/issues/1081#issuecomment-401716017
<rjo> sb0: there is another (the channel link) mapping.
<rjo> sb0: from a quick check the compound (channel link plus camera link) mapping is the one from the web page. and the specification has only the camera link mapping.
<sb0> ah, ok, thanks
<rjo> looks like they tried to undo the channel link mapping in camera link but messed it up/couldn't decide on a desired pattern.
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #1001: > Is it worth adding some termination resistors on to the boards so that we can use the high-speed phy again with a supported IO standard?... https://github.com/m-labs/artiq/issues/1001#issuecomment-401724084
<bb-m-labs> build #1689 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/1689
<bb-m-labs> build #2489 of artiq is complete: Failure [failed python_unittest_2] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/2489 blamelist: Sebastien Bourdeauducq <sb@m-labs.hk>
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #1055: Could this be related to https://github.com/m-labs/artiq/issues/1083? https://github.com/m-labs/artiq/issues/1055#issuecomment-401731928
<GitHub-m-labs> [artiq] hartytp commented on issue #1055: Don't know.... https://github.com/m-labs/artiq/issues/1055#issuecomment-401732593
<GitHub-m-labs> [artiq] enjoy-digital commented on issue #1001: @sbourdeauducq: yes, we are:... https://github.com/m-labs/artiq/issues/1001#issuecomment-401733111
<GitHub-m-labs> [artiq] hartytp commented on issue #1001: > I don't think faster programming of the DAC/HMC SPI etc. is that important and worth the trouble of reworking all boards (since otherwise, the master gateware would only work with some).... https://github.com/m-labs/artiq/issues/1001#issuecomment-401735430
<GitHub-m-labs> [artiq] hartytp commented on issue #1083: > Okay, I didn't realise that. Do you see any of the bad eye scans that I see? Here "Bad" = hysteresis between the eye scan and the value we measure at the "default phase" after the scan, or slips moving the transition by significantly more than 34 taps.... https://github.com/m-labs/artiq/issues/1083#issuecomment-401744568
rohitksingh_wor1 has joined #m-labs
<GitHub-m-labs> [artiq] gkasprow commented on issue #1001: At the moment 0201 resistors can be added between vias under the FPGA. But this is surgical operation under microscope. https://github.com/m-labs/artiq/issues/1001#issuecomment-401752930
rohitksingh_work has quit [Ping timeout: 256 seconds]
<GitHub-m-labs> [artiq] hartytp commented on issue #1001: OK, I don't feel like doing that right now. If there isn't anything a bit easier to solder on to within an inch or so of the FPGA then let's not bother. https://github.com/m-labs/artiq/issues/1001#issuecomment-401755361
<GitHub-m-labs> [artiq] hartytp commented on issue #1083: Updated to the latest ARTIQ and applied @sbourdeauducq's patch. Here is a log of eye scans over a few reboots: https://drive.google.com/open?id=1XISSM9aPlzmweKNJxhf10-zSkfHIoZAQ... https://github.com/m-labs/artiq/issues/1083#issuecomment-401758664
rohitksingh_wor1 has quit [Read error: Connection reset by peer]
<sb0> what's the a/d resolution of this andor 888 ultra? is it 8 bits per pixel?
<GitHub-m-labs> [artiq] hartytp commented on issue #1083: After reverting de7d64d482dcd51755be93040562896528670b68 I no longer see this: https://drive.google.com/open?id=1fYrKuaXlRAZLdYwOmTLM0nqOYlmeDFoc (NB should have left more time between reboots in my script).... https://github.com/m-labs/artiq/issues/1083#issuecomment-401780351
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #1083: > other than that, any ideas how we investigate this.... https://github.com/m-labs/artiq/issues/1083#issuecomment-401782987
<GitHub-m-labs> [artiq] sbourdeauducq commented on issue #1083: > other than that, any ideas how we investigate this.... https://github.com/m-labs/artiq/issues/1083#issuecomment-401782987
<GitHub-m-labs> [artiq] hartytp commented on issue #1083: @sbourdeauducq or @marmeladapk can you have a go at that, please? https://github.com/m-labs/artiq/issues/1083#issuecomment-401783877
<GitHub-m-labs> [artiq] marmeladapk commented on issue #1083: @hartytp Sorry, I won't be able to test this, I won't be in uni for at least three weeks. https://github.com/m-labs/artiq/issues/1083#issuecomment-401787225
sb0 has quit [Quit: Leaving]
<GitHub-m-labs> [artiq] hartytp commented on issue #1083: @marmeladapk no problem, thanks for letting me know.... https://github.com/m-labs/artiq/issues/1083#issuecomment-401792736
<GitHub-m-labs> [artiq] klickverbot opened issue #1090: dashboard: Trying to delete dataset subtree shouldn't silently do nothing https://github.com/m-labs/artiq/issues/1090
hartytp has joined #m-labs
<hartytp> sb0: do you remember what sysclk1_300 was intended for on Sayma AMC?
sb0 has joined #m-labs
<sb0> hartytp, no; just looks like clock-capable pins break-out for debugging
<sb0> hartytp, btw since my board doesn't exhibit the clk2 bug, it's probably not the best one for the tests
<hartytp> thanks
<hartytp> I know, will have a look on my board
<hartytp> aah...oops
omid has joined #m-labs
<omid> rjo: I guess this message was missed from Friday: I can ping now. But when I run command $artiq_flash -f flash_storage.img proxy storage start it tells me Binaries directory kc705-nist_clock does not exist. How can I get it to use my binary directory named kc705-phaser ?
richardas_ has joined #m-labs
<sb0> omid, -m phaser
<sb0> hartytp, why DATA_RATE=DDR?
<hartytp> copy paste
<hartytp> have removed that
<sb0> oh, you're trying to output the JESD clock?
<hartytp> yes
<hartytp> (when is that needed, I hadn't seen it before)
<omid> Thanks sb0
<richardas_> Hello, is i was wondering if there was any simple misoc example?
<omid> sb0: I run the following command: https://pastecode.xyz/view/7ad9b39f
<omid> How can I resolve the error? Note that I can ping the device.
<sb0> omid, startup kernel not terminating?
<omid> sb0, How do I check for that? flterm?
<sb0> omid, yes
<omid> sb0: I get no output on flterm
<omid> It's flterm /dev/ttyUSB2
<sb0> omid, when doing what?
<omid> When I run the script
<sb0> what script?
<sb0> try rebooting the board while looking at flterm messages and check that your startup kernel terminates
<sb0> startup kernels are meant for initialization of the device e.g. ttl directions, you should only program something that terminates quickly there
<hartytp> okay, so DATA_RATE is only for {I,O}SERDESs
<richardas_> so I'm trying to run that old misoc blinker example, how should i change this part of code: csr_map.update(BaseSoC.csr_map) ?
<hartytp> sb0, _florent_: what am I missing here: https://github.com/hartytp/migen/blob/0e4e7b552291ce402d0012a8611a932fbe6016dc/migen/build/platforms/sinara/sayma_amc.py#L80 why use ODT when this is an output? Shouldn't it be a controlled impedance instead?
<sb0> rjo, for per-channel DDS phase modes, is it correct that there should be an additional SPI write to the CPLD to select which IO_UPDATE to pulse?
<sb0> hartytp, DQS is bidirectional
<hartytp> okay,
<hartytp> thanks
<sb0> but you can often get away with not using it in the DDR->FPGA direction. much simpler, less latency, no decryption of xilinx secureip required.
<hartytp> I was looking at the K7ddr phy and it seemed to only be used as an output from the FPGA, not an input. which is why I asked
<hartytp> makes sense though
omid has quit [Ping timeout: 260 seconds]
<sb0> for using DQS for reads, you need an elastic buffer, and since DQS is not a free-running clock it doesn't work very well with vivado
<sb0> it's doable, but it's a PITA
<sb0> the xilinx solution, of course, is an undocumented hard block (https://www.xilinx.com/support/answers/42026.html)
<larsc> because you wouldn't know how to use them anyway!!!
<larsc> for ultrascale they took the approach that the IO pins are first and foremost a DDR PHY, but which has a secondary mode where the pins can be used individually
<hartytp> sb0: okay, have the JESD clock output on the SYSCLK output for the working config
<hartytp> all looks good as expected
<hartytp> will rebuild and look at non-working config tomorrow and see what we can see...
omid has joined #m-labs
<omid> sb0: I am running a demo script in here https://pastecode.xyz/view/49ac669a
<richardas_> when running this code(sorry I'm really new to this) https://pastebin.com/Tg23Ggi1 , I expected to see new addresses in generated/include/csr.h file but there is nothing. What I'm doing wrong? Could anyone help please?
<sb0> richardas_, blinker should derive from autocsr + you should add "blinker" to self.csr_devices
<omid> sj0: I also ran the led example on the website. The output error is the same. Connection refused. I also compiled the led.py file got this error: https://pastecode.xyz/view/89e6598e
<omid> There is still no output on the terminal where I run flterm /dev/ttyUSB2
<sb0> omid, you still have not told me if your startup kernel terminates.
<omid> Yes it terminates when I restart
<sb0> how do you know?
<omid> (I still need to press some key, any key, for it to output that error.)
<sb0> are you sure it's the correct ttyUSB and not e.g. a JTAG port?
<sb0> anyway if flterm craps out for some reason, you can use another serial terminal program
<omid> sb0: I used the other ttyUSB. The result is the same
<omid> Do you have a suggestion for a serial terminal program replacement?
<sb0> gtkterm, putty
<sb0> stty + cat
sb0 has quit [Quit: Leaving]
<richardas_> thanks sb0
richardas_ has quit [Remote host closed the connection]
<omid> sb0, rbj: I starting using gtkterm (instead of flterm) and ran the led.py script. The result is the same error output (https://pastecode.xyz/view/89e6598e). No output is generated on gtkterm when I run the script (I checked all the the ttyUSB's on gtkterm configuration).
<omid> I can ping the device and I can flash it. All the steps prior to running an script works.
X-Scale has quit [Ping timeout: 260 seconds]
mumptai has joined #m-labs
X-Scale has joined #m-labs
<GitHub-m-labs> [artiq] philipkent opened issue #1091: artiq_flash command not found https://github.com/m-labs/artiq/issues/1091
<omid> Here's the output of gtkterm: https://pastecode.xyz/view/27e8c52b
<omid> When I run the led.py script
mumptai has quit [Quit: Verlassend]
<GitHub-m-labs> [artiq] SBuercklin commented on issue #89: I'm running into a similar issue now where I can't get the GUI to update despite the experiment updating.... https://github.com/m-labs/artiq/issues/89#issuecomment-401930701
<GitHub-m-labs> [artiq] philipkent opened issue #1092: binaries directory does not exist in main artiq package https://github.com/m-labs/artiq/issues/1092
<GitHub-m-labs> [artiq] philipkent commented on issue #1091: This also happens if you create an environment from the "main" channel with `conda create -n artiq-main artiq-kc705-nist_qc2` which installs version 3.6 as well. https://github.com/m-labs/artiq/issues/1091#issuecomment-401932298
<GitHub-m-labs> [artiq] SBuercklin commented on issue #89: I'm running into a similar issue now where I can't get the GUI to update despite the experiment updating.... https://github.com/m-labs/artiq/issues/89#issuecomment-401930701
<GitHub-m-labs> [artiq] philipkent opened issue #1093: seconds_to_mu is not bound to anything error in v3.6 https://github.com/m-labs/artiq/issues/1093
<GitHub-m-labs> [artiq] klickverbot commented on issue #1093: This is now `self.core.seconds_to_mu`. https://github.com/m-labs/artiq/issues/1093#issuecomment-401964508
<GitHub-m-labs> [artiq] philipkent closed issue #1093: seconds_to_mu is not bound to anything error in v3.6 https://github.com/m-labs/artiq/issues/1093