sb0 changed the topic of #m-labs to: ARTIQ, Migen, MiSoC, Mixxeo & other M-Labs projects :: fka #milkymist :: Logs http://irclog.whitequark.org/m-labs
<GitHub101> [smoltcp] dlrobertson opened issue #76: Add IPv6 to wire https://git.io/vFulc
<travis-ci> m-labs/smoltcp#389 (master - ef4af85 : Dan Robertson): The build passed.
cjbe__ has quit [Ping timeout: 240 seconds]
<GitHub90> [smoltcp] whitequark commented on issue #72: Well, and it breaks the build. https://git.io/vFuR1
<sb0> whitequark, ping re. ARP and other bugs
<whitequark> sb0: pong
<whitequark> working on it
<GitHub64> [sinara] gkasprow pushed 1 new commit to master: https://github.com/m-labs/sinara/commit/a831aec2cdb31eda6c592977ab5de43aed43d0c6
<GitHub64> sinara/master a831aec Greg: RFPA v1.0rc1
<GitHub149> [smoltcp] whitequark commented on pull request #72 5682ccf: I think IPv6 addresses are typically constructed from 16-bit parts, not 8-bit parts. https://git.io/vFugu
<GitHub86> [smoltcp] whitequark commented on pull request #72 5682ccf: This PR would also be well served if you added an IPv6 parser to it. https://git.io/vFugw
<GitHub28> [smoltcp] whitequark commented on pull request #72 5682ccf: ... and a missing newline https://git.io/vFugg
<GitHub143> [smoltcp] whitequark commented on pull request #72 5682ccf: Extra newline https://git.io/vFugz
<GitHub64> [smoltcp] whitequark commented on pull request #72 5682ccf: There's already a (commented) case below that catches this. https://git.io/vFuga
<GitHub100> [smoltcp] whitequark commented on pull request #72 5682ccf: I wonder what should we do with IPv6-mapped IPv4 addresses. I *think* the conversion should happen on a layer above this, though, since IPv6-mapped IPv4 addresses shouldn't really ever appear *on wire*. https://git.io/vFug6
<GitHub24> [smoltcp] whitequark commented on pull request #72 5682ccf: Let's not split it into modules yet, I like the regularity of the current module tree a lot. https://git.io/vFugK
<GitHub106> [smoltcp] whitequark commented on pull request #72 5682ccf: Should also have `from_parts(&[u16])` and (bikeshed the name) `write_parts(&mut [u16])` https://git.io/vFug2
<GitHub82> [smoltcp] whitequark commented on pull request #72 5682ccf: Split this line in two please https://git.io/vFugV
<GitHub43> [smoltcp] whitequark commented on pull request #72 5682ccf: Smoltcp doc comments all have a dot at the end of the first sentence. https://git.io/vFugr
Gurty has quit [Read error: Connection timed out]
Gurty has joined #m-labs
Gurty has quit [Ping timeout: 246 seconds]
Gurty has joined #m-labs
bb-m-labs has quit [Ping timeout: 248 seconds]
bb-m-labs has joined #m-labs
siruf has quit [Ping timeout: 248 seconds]
Gurty has quit [Ping timeout: 250 seconds]
balrog has quit [Ping timeout: 260 seconds]
balrog has joined #m-labs
siruf has joined #m-labs
Gurty has joined #m-labs
Gurty has quit [Ping timeout: 250 seconds]
Gurty has joined #m-labs
stekern has quit [Ping timeout: 260 seconds]
Gurty has quit [Read error: Connection timed out]
Gurty has joined #m-labs
bunnie_ has joined #m-labs
rohitksingh_work has joined #m-labs
bunnie has quit [Ping timeout: 240 seconds]
stekern has joined #m-labs
<GitHub184> [smoltcp] LuoZijun opened issue #77: [ASK] Ethernet Frame struct https://git.io/vFuKa
<mithro> whitequark: -^
<mithro> That is my random links regarding PCB stuff
AceChen has quit [Ping timeout: 248 seconds]
AceChen has joined #m-labs
<mithro> I'm very interested in trying to make it easy to snap together FPGA based designs
<cr1901_modern> Is this about pcbhdl?
<cr1901_modern> mithro: ^
<mithro> Yes
<mithro> Just meet whitequark in person :-)
<cr1901_modern> oh cool, lucky you
gric_ has joined #m-labs
hobbes- has quit [Ping timeout: 248 seconds]
gric has quit [Ping timeout: 248 seconds]
hobbes- has joined #m-labs
larsc has quit [*.net *.split]
_whitelogger has joined #m-labs
<whitequark> mithro: oh very cool, your unit tests is exactly what azonenberg wanted from pcbhdl
<whitequark> we should join forces!
<mithro> whitequark: Mine do not really work yet - I only got as far as describing what I wanted and parsing the info
<whitequark> sure, but that is already valuable, because it constrains the space of requirements
<GitHub139> [smoltcp] whitequark commented on issue #77: The frame check sequence, just like the preamble and start delimiter, are handled by hardware. https://git.io/vFu1y
<GitHub37> [smoltcp] whitequark commented on issue #77: Note the difference between ethernet _packet_ and ethernet _frame_; the packet includes preamble and FCS, whereas the frame does not. https://git.io/vFu19
adamgreig has quit [Ping timeout: 240 seconds]
ysionneau has quit [Ping timeout: 240 seconds]
FabM has joined #m-labs
<GitHub127> [smoltcp] LuoZijun commented on issue #77: @whitequark ... https://git.io/vFuMg
<GitHub0> [smoltcp] LuoZijun commented on issue #77: @whitequark ... https://git.io/vFuM5
<GitHub171> [smoltcp] LuoZijun commented on issue #77: @whitequark ... https://git.io/vFuM5
<GitHub136> [smoltcp] LuoZijun commented on issue #77: @whitequark ... https://git.io/vFuM5
Guest15921 has joined #m-labs
Guest15921 has quit [Quit: KVIrc 4.2.0 Equilibrium http://www.kvirc.net/]
<rjo> whitequark: how are the smoltcp issues coming along?
<rjo> mithro: any chance those features will find their way back into kicad?
<cr1901_modern> rjo: Would you have time this morning to re-look over my PR?
<rjo> cr1901_modern: will do.
<cr1901_modern> Tyvm. Take your time of course, just wanted to touch base. I wish to add a new platform (tinyfpga-b), but the current changes are a prerequisite.
<cr1901_modern> rjo: Let me address your next set of requested changes before answering your q here: https://github.com/m-labs/migen/pull/84#issuecomment-343091596 (But, sure that's a good idea)
<cr1901_modern> In my ideal world, yosys is so generic that it can be treated as its own separate entity for individual toolchains to use if needed
<cr1901_modern> (Xilinx/Altera compilation, Greenpak/Ice40 synthesis)
<rjo> sure. but then you want to make it so that yosys can be used in all those situations. then there doesn't seem to be much room for abstracting over it. the special_overrides are platform and toolchain specific, the yosys commands and options are as well. the only thing that might be common is the attr_translate stuff.
<GitHub90> [smoltcp] LuoZijun closed issue #77: [ASK] Ethernet Frame struct https://git.io/vFuKa
<cr1901_modern> rjo: Fair enough. Also could you elaborate on this? https://github.com/m-labs/migen/pull/84#discussion_r149899202
<cr1901_modern> AIUI you would prefer that I provide a "syntheis_commands" template that gets concatenated to the required yosys commands in the output .ys file?
<cr1901_modern> And just rely on the user to ensure at least one of the commands generates an output BLIF (for the pnr)
<cr1901_modern> Additionally, you want the *_opt fields removed and replaced with a command file template?
<cr1901_modern> I agree the current logic to generate the command file isn't great, but it's done like that so the build correctly fails if any command fails on Windows
early has quit [Ping timeout: 258 seconds]
early has joined #m-labs
cjbe__ has joined #m-labs
<rjo> with a list of yosys command templates (see the additional comment) and a list of batch command templates. platforms can then just copy and alter or append()/insert() those lists. trying to parametrize the batch file into x/y/z_opt and the yosys script with post/pre/x/_synth_commands will not work in the long run.
<rjo> i.e. just merge those parametriziations.
adamgreig has joined #m-labs
ysionneau has joined #m-labs
lars___ has joined #m-labs
ysionneau has quit [Ping timeout: 240 seconds]
ysionneau has joined #m-labs
rjo has quit [Ping timeout: 240 seconds]
rjo has joined #m-labs
nurelin has quit [Remote host closed the connection]
cjbe_ has joined #m-labs
nurelin has joined #m-labs
<rjo> cr1901_modern: almost. cut out that intermediate layer.
<cr1901_modern> intermediate layer?
cjbe__ has quit [Ping timeout: 250 seconds]
<cr1901_modern> I'm not sure I follow. Though one weakness as-is is that the user needs to figure out which index is "pre_synth" and the other "post_synth"
<rjo> cr1901_modern: ys_contents = "\n".join(_.format(build_name=build_name, ...) for _ in self.synthesis_template)
<rjo> and have the user mess with self.synthesis_template
<rjo> plus a helper for read_files
<cr1901_modern> is it okay that pre_synth/post_synth become no-ops by default?
<rjo> remove them. the user can inject that stuff into synthesis_template (maybe find a better name for that)
ysionneau has quit [Ping timeout: 240 seconds]
<cr1901_modern> Alright let me update the file
ysionneau has joined #m-labs
<cr1901_modern> rjo: I should prob remove "{migen_attrmap}" and "{read_files}", and "{synth_override}" too. The user can override them directly as needed.
<cr1901_modern> err, maybe not read_files
cjbe_ has quit [Ping timeout: 240 seconds]
<cr1901_modern> rjo: plus a helper for read_files <-- a helper function? We won't know the all the files to read until build() runs.
ysionnea1 has joined #m-labs
ysionneau has quit [Read error: Connection reset by peer]
ysionnea1 has quit [Ping timeout: 248 seconds]
ysionneau has joined #m-labs
<rjo> cr1901_modern: yeah. you'll still need code for the read_files stuff. but that's fine.
<rjo> cr1901_modern: yes. that looks good.
<cr1901_modern> rjo: Okay, so I have an idea... "{read_files}" is replaced by the return value of a helper method like you said. By default, it just does what lines 120-125 does.
<cr1901_modern> But you can override that function to do special processing if needed?
<cr1901_modern> Was that your intent? If so, is it better to specify an override as an input argument to build(), just like how build_name is?
<cr1901_modern> a read_files override*
ysionneau has quit [Ping timeout: 240 seconds]
ysionneau has joined #m-labs
<rjo> no. let that code be a method and people can override the method.
<cr1901_modern> Okay. All changes you requested incoming in 5 mins
<GitHub34> [smoltcp] dlrobertson commented on issue #69: Thanks for the reviews. The final product was much much better than the first few revisions https://git.io/vFzfc
<cr1901_modern> rjo: All changes you requested implemented. I'm taking a break right now. Please take a look when you have the chance >>
<cr1901_modern> and then we can perhaps figure out what color to paint the shed? :)
ysionneau has quit [Ping timeout: 248 seconds]
ysionneau has joined #m-labs
<rjo> cr1901_modern: all good.
<cr1901_modern> rjo: Excellent. Only concern left is: what should I call synthesis_template and build_template?
<cr1901_modern> (We can worry about this later if you wish, or you can change it to whatever you want and I'll update accordingly)
<rjo> yosys_template and build_template?
<cr1901_modern> works for me
<cr1901_modern> rjo: Done. Tyvm :D
rohitksingh_work has quit [Read error: Connection reset by peer]
rohitksingh_work has joined #m-labs
rohitksingh_work has quit [Read error: Connection reset by peer]
rohitksingh has joined #m-labs
<GitHub136> [artiq] jordens pushed 1 new commit to master: https://github.com/m-labs/artiq/commit/4880e4225db12af21b1c4ea7ec4797f090ba2cd0
<GitHub136> artiq/master 4880e42 Robert Jordens: bit2bin: cleanup
<bb-m-labs> build #887 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/887
<bb-m-labs> build #1773 of artiq is complete: Failure [failed artiq_flash] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/1773 blamelist: Robert Jordens <rj@m-labs.hk>
<GitHub8> [artiq] jordens pushed 1 new commit to master: https://github.com/m-labs/artiq/commit/5dc131636d84a36eb9e5597ab0cc531d66643eb8
<GitHub8> artiq/master 5dc1316 Robert Jordens: artiq_flash: adapt to bit2bin
<sb0> _florent_, okay, dram 64-bit works on sayma1 and sayma3
<sb0> no luck with the bridge though. i got it to init once but then it froze after
rohitksingh has quit [Quit: Leaving.]
<bb-m-labs> build #888 of artiq-board is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq-board/builds/888
<bb-m-labs> build #610 of artiq-win64-test is complete: Warnings [warnings python_unittest] Build details are at http://buildbot.m-labs.hk/builders/artiq-win64-test/builds/610 blamelist: Robert Jordens <rj@m-labs.hk>
<bb-m-labs> build #1774 of artiq is complete: Success [build successful] Build details are at http://buildbot.m-labs.hk/builders/artiq/builds/1774
cjbe has joined #m-labs
Ultrasauce has quit [Remote host closed the connection]
<GitHub171> [sinara] gkasprow pushed 1 new commit to master: https://github.com/m-labs/sinara/commit/2c5fae73c6c74a94dbf698ff76c751acc63715aa
<GitHub171> sinara/master 2c5fae7 Greg: added Kasli, Urukul and Clocker panels
FabM has quit [Remote host closed the connection]
Ultrasauce has joined #m-labs
<GitHub129> [smoltcp] whitequark commented on issue #77: Looks like the Wikipedia people disagree: https://en.wikipedia.org/w/index.php?title=Ethernet_frame&diff=809483347&oldid=809464039... https://git.io/vFgCd
cjbe has quit [Read error: Connection reset by peer]
<GitHub173> [sinara] gkasprow pushed 1 new commit to master: https://github.com/m-labs/sinara/commit/54b64da93f252553ffa4ee143502165ce4a3ebea
<GitHub173> sinara/master 54b64da Greg: panel update
<_florent_> sb0: ok for the dram, i'm looking at the bridge... (it seems to be related to the rx path on ultrascale, tx on ultrascale and rx/tx on artix seem fine)